From owner-p4-projects@FreeBSD.ORG Sun Oct 20 19:48:07 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 1072491E; Sun, 20 Oct 2013 19:48:07 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id C739D91C for ; Sun, 20 Oct 2013 19:48:06 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id B09322BEA for ; Sun, 20 Oct 2013 19:48:06 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9KJm6sw041459 for ; Sun, 20 Oct 2013 19:48:06 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9KJm6u2041450 for perforce@freebsd.org; Sun, 20 Oct 2013 19:48:06 GMT (envelope-from jhb@freebsd.org) Date: Sun, 20 Oct 2013 19:48:06 GMT Message-Id: <201310201948.r9KJm6u2041450@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1162000 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 20 Oct 2013 19:48:07 -0000 http://p4web.freebsd.org/@@1162000?ac=10 Change 1162000 by jhb@jhb_pippin on 2013/10/20 19:47:25 IFC @1161566 Affected files ... .. //depot/projects/pci/sys/amd64/amd64/pmap.c#11 integrate .. //depot/projects/pci/sys/amd64/conf/GENERIC#13 integrate .. //depot/projects/pci/sys/amd64/include/vmm.h#4 integrate .. //depot/projects/pci/sys/amd64/vmm/intel/vmx.c#5 integrate .. //depot/projects/pci/sys/amd64/vmm/intel/vmx.h#3 integrate .. //depot/projects/pci/sys/amd64/vmm/intel/vmx_controls.h#2 integrate .. //depot/projects/pci/sys/amd64/vmm/vmm_dev.c#4 integrate .. //depot/projects/pci/sys/amd64/vmm/x86.c#4 integrate .. //depot/projects/pci/sys/arm/arm/busdma_machdep-v6.c#5 integrate .. //depot/projects/pci/sys/arm/arm/cpufunc.c#6 integrate .. //depot/projects/pci/sys/arm/arm/cpufunc_asm_armv7.S#3 integrate .. //depot/projects/pci/sys/arm/arm/fusu.S#4 integrate .. //depot/projects/pci/sys/arm/arm/identcpu.c#6 integrate .. //depot/projects/pci/sys/arm/arm/locore.S#4 integrate .. //depot/projects/pci/sys/arm/arm/machdep.c#7 integrate .. //depot/projects/pci/sys/arm/arm/pl310.c#3 integrate .. //depot/projects/pci/sys/arm/arm/pmap-v6.c#6 integrate .. //depot/projects/pci/sys/arm/freescale/imx/imx51_ccm.c#3 integrate .. //depot/projects/pci/sys/arm/include/armreg.h#6 integrate .. //depot/projects/pci/sys/arm/include/pmap.h#8 integrate .. //depot/projects/pci/sys/arm/mv/common.c#6 integrate .. //depot/projects/pci/sys/arm/mv/mv_localbus.c#2 integrate .. //depot/projects/pci/sys/arm/mv/mvreg.h#5 integrate .. //depot/projects/pci/sys/arm/xscale/i80321/ep80219_machdep.c#4 integrate .. //depot/projects/pci/sys/arm/xscale/i80321/iq31244_machdep.c#4 integrate .. //depot/projects/pci/sys/arm/xscale/i8134x/crb_machdep.c#6 integrate .. //depot/projects/pci/sys/arm/xscale/ixp425/avila_machdep.c#4 integrate .. //depot/projects/pci/sys/arm/xscale/pxa/pxa_machdep.c#4 integrate .. //depot/projects/pci/sys/boot/forth/loader.conf#9 integrate .. //depot/projects/pci/sys/cam/ata/ata_all.c#6 integrate .. //depot/projects/pci/sys/cam/ata/ata_all.h#5 integrate .. //depot/projects/pci/sys/cam/ata/ata_da.c#11 integrate .. //depot/projects/pci/sys/cam/ata/ata_pmp.c#6 integrate .. //depot/projects/pci/sys/cam/cam_periph.c#11 integrate .. //depot/projects/pci/sys/cam/cam_periph.h#6 integrate .. //depot/projects/pci/sys/cam/cam_xpt.c#12 integrate .. //depot/projects/pci/sys/cam/cam_xpt_periph.h#5 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_all.c#8 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_all.h#8 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_cd.c#8 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_ch.c#8 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_da.c#10 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_enc.c#3 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_pass.c#7 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_pt.c#5 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_sa.c#8 integrate .. //depot/projects/pci/sys/cam/scsi/scsi_sg.c#6 integrate .. //depot/projects/pci/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c#8 integrate .. //depot/projects/pci/sys/cddl/contrib/opensolaris/uts/common/sys/dtrace.h#5 integrate .. //depot/projects/pci/sys/cddl/contrib/opensolaris/uts/powerpc/dtrace/fasttrap_isa.c#2 integrate .. //depot/projects/pci/sys/cddl/contrib/opensolaris/uts/powerpc/sys/fasttrap_isa.h#2 integrate .. //depot/projects/pci/sys/cddl/dev/dtrace/dtrace_sysctl.c#3 integrate .. //depot/projects/pci/sys/conf/NOTES#15 integrate .. //depot/projects/pci/sys/conf/files#16 integrate .. //depot/projects/pci/sys/conf/files.amd64#11 integrate .. //depot/projects/pci/sys/conf/files.i386#13 integrate .. //depot/projects/pci/sys/conf/files.powerpc#10 integrate .. //depot/projects/pci/sys/conf/kmod.mk#9 integrate .. //depot/projects/pci/sys/conf/newvers.sh#10 integrate .. //depot/projects/pci/sys/conf/options#16 integrate .. //depot/projects/pci/sys/contrib/dev/run/rt2870.fw.uu#3 integrate .. //depot/projects/pci/sys/contrib/rdma/core_priv.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_addr.h#4 delete .. //depot/projects/pci/sys/contrib/rdma/ib_cache.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_cm.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_fmr_pool.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_mad.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_marshall.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_pack.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_sa.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_smi.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_umem.h#4 delete .. //depot/projects/pci/sys/contrib/rdma/ib_user_cm.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_user_mad.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_user_sa.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_user_verbs.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/ib_verbs.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/iw_cm.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/krping/getopt.c#3 integrate .. //depot/projects/pci/sys/contrib/rdma/krping/krping.c#4 integrate .. //depot/projects/pci/sys/contrib/rdma/krping/krping.h#4 integrate .. //depot/projects/pci/sys/contrib/rdma/krping/krping_dev.c#4 integrate .. //depot/projects/pci/sys/contrib/rdma/rdma_addr.c#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_cache.c#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_cm.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_cm_ib.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_cma.c#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_device.c#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_iwcm.c#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_user_cm.h#3 delete .. //depot/projects/pci/sys/contrib/rdma/rdma_verbs.c#3 delete .. //depot/projects/pci/sys/contrib/rdma/types.h#3 delete .. //depot/projects/pci/sys/dev/altera/atse/a_api.h#1 branch .. //depot/projects/pci/sys/dev/altera/atse/if_atse.c#1 branch .. //depot/projects/pci/sys/dev/altera/atse/if_atse_fdt.c#1 branch .. //depot/projects/pci/sys/dev/altera/atse/if_atse_nexus.c#1 branch .. //depot/projects/pci/sys/dev/altera/atse/if_atsereg.h#1 branch .. //depot/projects/pci/sys/dev/altera/sdcard/altera_sdcard.c#2 integrate .. //depot/projects/pci/sys/dev/altera/sdcard/altera_sdcard_io.c#2 integrate .. //depot/projects/pci/sys/dev/ata/ata-all.c#6 integrate .. //depot/projects/pci/sys/dev/ata/ata-card.c#4 integrate .. //depot/projects/pci/sys/dev/ath/if_ath.c#15 integrate .. //depot/projects/pci/sys/dev/ath/if_ath_tx.c#8 integrate .. //depot/projects/pci/sys/dev/bxe/bxe.c#2 integrate .. //depot/projects/pci/sys/dev/bxe/bxe_stats.c#2 integrate .. //depot/projects/pci/sys/dev/bxe/ecore_sp.h#2 integrate .. //depot/projects/pci/sys/dev/cfi/cfi_core.c#5 integrate .. //depot/projects/pci/sys/dev/cxgb/ulp/iw_cxgb/iw_cxgb.c#3 integrate .. //depot/projects/pci/sys/dev/cxgbe/adapter.h#11 integrate .. //depot/projects/pci/sys/dev/cxgbe/common/common.h#8 integrate .. //depot/projects/pci/sys/dev/cxgbe/firmware/t4fw-1.8.11.0.bin.uu#2 delete .. //depot/projects/pci/sys/dev/cxgbe/firmware/t4fw-1.9.12.0.bin.uu#1 branch .. //depot/projects/pci/sys/dev/cxgbe/firmware/t4fw_cfg.txt#4 integrate .. //depot/projects/pci/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt#4 integrate .. //depot/projects/pci/sys/dev/cxgbe/firmware/t4fw_interface.h#5 integrate .. //depot/projects/pci/sys/dev/cxgbe/firmware/t5fw-1.8.22.0.bin.uu#2 delete .. //depot/projects/pci/sys/dev/cxgbe/firmware/t5fw-1.9.12.0.bin.uu#1 branch .. //depot/projects/pci/sys/dev/cxgbe/firmware/t5fw_cfg.txt#2 integrate .. //depot/projects/pci/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt#2 integrate .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/cm.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/cq.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/device.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/ev.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/id_table.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/mem.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/provider.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/qp.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/resource.c#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/t4.h#1 branch .. //depot/projects/pci/sys/dev/cxgbe/iw_cxgbe/user.h#1 branch .. //depot/projects/pci/sys/dev/cxgbe/t4_main.c#11 integrate .. //depot/projects/pci/sys/dev/cxgbe/t4_sge.c#11 integrate .. //depot/projects/pci/sys/dev/cxgbe/tom/t4_cpl_io.c#4 integrate .. //depot/projects/pci/sys/dev/drm2/radeon/radeon_drv.c#2 integrate .. //depot/projects/pci/sys/dev/etherswitch/arswitch/arswitch.c#4 integrate .. //depot/projects/pci/sys/dev/etherswitch/arswitch/arswitch_9340.c#1 branch .. //depot/projects/pci/sys/dev/etherswitch/arswitch/arswitch_9340.h#1 branch .. //depot/projects/pci/sys/dev/etherswitch/arswitch/arswitch_vlans.c#2 integrate .. //depot/projects/pci/sys/dev/etherswitch/arswitch/arswitchreg.h#3 integrate .. //depot/projects/pci/sys/dev/etherswitch/arswitch/arswitchvar.h#3 integrate .. //depot/projects/pci/sys/dev/fdt/fdtbus.c#7 integrate .. //depot/projects/pci/sys/dev/glxsb/glxsb.c#4 integrate .. //depot/projects/pci/sys/dev/hifn/hifn7751.c#5 integrate .. //depot/projects/pci/sys/dev/hyperv/include/hyperv.h#2 integrate .. //depot/projects/pci/sys/dev/hyperv/netvsc/hv_net_vsc.h#2 integrate .. //depot/projects/pci/sys/dev/hyperv/netvsc/hv_netvsc_drv_freebsd.c#2 integrate .. //depot/projects/pci/sys/dev/hyperv/stordisengage/hv_ata_pci_disengage.c#2 integrate .. //depot/projects/pci/sys/dev/hyperv/vmbus/hv_channel.c#2 integrate .. //depot/projects/pci/sys/dev/hyperv/vmbus/hv_hv.c#2 integrate .. //depot/projects/pci/sys/dev/hyperv/vmbus/hv_vmbus_drv_freebsd.c#2 integrate .. //depot/projects/pci/sys/dev/isp/isp.c#6 integrate .. //depot/projects/pci/sys/dev/isp/isp_freebsd.c#6 integrate .. //depot/projects/pci/sys/dev/isp/isp_freebsd.h#5 integrate .. //depot/projects/pci/sys/dev/isp/ispvar.h#4 integrate .. //depot/projects/pci/sys/dev/random/dummy_rng.c#1 branch .. //depot/projects/pci/sys/dev/random/harvest.c#5 integrate .. //depot/projects/pci/sys/dev/random/hash.h#4 integrate .. //depot/projects/pci/sys/dev/random/ivy.c#4 integrate .. //depot/projects/pci/sys/dev/random/live_entropy_sources.c#1 branch .. //depot/projects/pci/sys/dev/random/live_entropy_sources.h#1 branch .. //depot/projects/pci/sys/dev/random/nehemiah.c#5 integrate .. //depot/projects/pci/sys/dev/random/pseudo_rng.c#2 delete .. //depot/projects/pci/sys/dev/random/random_adaptors.c#3 integrate .. //depot/projects/pci/sys/dev/random/random_adaptors.h#3 integrate .. //depot/projects/pci/sys/dev/random/random_harvestq.c#2 integrate .. //depot/projects/pci/sys/dev/random/random_harvestq.h#2 integrate .. //depot/projects/pci/sys/dev/random/randomdev.c#6 integrate .. //depot/projects/pci/sys/dev/random/randomdev.h#6 integrate .. //depot/projects/pci/sys/dev/random/randomdev_soft.c#7 integrate .. //depot/projects/pci/sys/dev/random/randomdev_soft.h#5 integrate .. //depot/projects/pci/sys/dev/random/rwfile.c#1 branch .. //depot/projects/pci/sys/dev/random/rwfile.h#1 branch .. //depot/projects/pci/sys/dev/random/yarrow.c#5 integrate .. //depot/projects/pci/sys/dev/random/yarrow.h#4 integrate .. //depot/projects/pci/sys/dev/rndtest/rndtest.c#6 integrate .. //depot/projects/pci/sys/dev/safe/safe.c#7 integrate .. //depot/projects/pci/sys/dev/syscons/scmouse.c#4 integrate .. //depot/projects/pci/sys/dev/syscons/syscons.c#8 integrate .. //depot/projects/pci/sys/dev/ubsec/ubsec.c#6 integrate .. //depot/projects/pci/sys/dev/usb/controller/musb_otg.c#7 integrate .. //depot/projects/pci/sys/dev/usb/controller/musb_otg.h#5 integrate .. //depot/projects/pci/sys/dev/usb/controller/xhci.c#7 integrate .. //depot/projects/pci/sys/dev/usb/serial/uslcom.c#6 integrate .. //depot/projects/pci/sys/dev/usb/usbdevs#13 integrate .. //depot/projects/pci/sys/dev/usb/wlan/if_run.c#8 integrate .. //depot/projects/pci/sys/dev/usb/wlan/if_runreg.h#3 integrate .. //depot/projects/pci/sys/dev/vmware/vmxnet3/if_vmx.c#2 integrate .. //depot/projects/pci/sys/dev/vmware/vmxnet3/if_vmxvar.h#2 integrate .. //depot/projects/pci/sys/dev/xen/blkfront/blkfront.c#7 integrate .. //depot/projects/pci/sys/fs/devfs/devfs_vnops.c#7 integrate .. //depot/projects/pci/sys/geom/geom_dev.c#9 integrate .. //depot/projects/pci/sys/geom/geom_disk.c#10 integrate .. //depot/projects/pci/sys/geom/geom_io.c#4 integrate .. //depot/projects/pci/sys/geom/geom_slice.c#4 integrate .. //depot/projects/pci/sys/geom/label/g_label.c#5 integrate .. //depot/projects/pci/sys/geom/part/g_part.c#7 integrate .. //depot/projects/pci/sys/geom/part/g_part_apm.c#7 integrate .. //depot/projects/pci/sys/geom/part/g_part_bsd.c#6 integrate .. //depot/projects/pci/sys/geom/part/g_part_ebr.c#7 integrate .. //depot/projects/pci/sys/geom/part/g_part_gpt.c#7 integrate .. //depot/projects/pci/sys/geom/part/g_part_mbr.c#7 integrate .. //depot/projects/pci/sys/geom/part/g_part_pc98.c#6 integrate .. //depot/projects/pci/sys/geom/part/g_part_vtoc8.c#5 integrate .. //depot/projects/pci/sys/geom/raid/g_raid.c#7 integrate .. //depot/projects/pci/sys/geom/raid/g_raid.h#4 integrate .. //depot/projects/pci/sys/geom/raid/tr_concat.c#3 integrate .. //depot/projects/pci/sys/geom/raid/tr_raid0.c#3 integrate .. //depot/projects/pci/sys/geom/raid/tr_raid1.c#4 integrate .. //depot/projects/pci/sys/geom/raid/tr_raid1e.c#5 integrate .. //depot/projects/pci/sys/geom/raid/tr_raid5.c#3 integrate .. //depot/projects/pci/sys/i386/conf/GENERIC#13 integrate .. //depot/projects/pci/sys/kern/kern_exec.c#10 integrate .. //depot/projects/pci/sys/kern/kern_intr.c#11 integrate .. //depot/projects/pci/sys/kern/makesyscalls.sh#6 integrate .. //depot/projects/pci/sys/kern/subr_bus.c#13 integrate .. //depot/projects/pci/sys/kern/subr_devstat.c#5 integrate .. //depot/projects/pci/sys/kern/subr_taskqueue.c#7 integrate .. //depot/projects/pci/sys/kern/sys_generic.c#8 integrate .. //depot/projects/pci/sys/kern/vfs_bio.c#11 integrate .. //depot/projects/pci/sys/mips/atheros/ar71xx_chip.c#8 integrate .. //depot/projects/pci/sys/mips/atheros/ar71xx_cpudef.h#7 integrate .. //depot/projects/pci/sys/mips/atheros/ar71xx_machdep.c#7 integrate .. //depot/projects/pci/sys/mips/atheros/ar71xx_spi.c#4 integrate .. //depot/projects/pci/sys/mips/atheros/ar724x_chip.c#9 integrate .. //depot/projects/pci/sys/mips/atheros/ar91xx_chip.c#9 integrate .. //depot/projects/pci/sys/mips/atheros/ar933x_chip.c#4 integrate .. //depot/projects/pci/sys/mips/atheros/ar933xreg.h#2 integrate .. //depot/projects/pci/sys/mips/atheros/ar934x_chip.c#2 integrate .. //depot/projects/pci/sys/mips/atheros/ar934xreg.h#3 integrate .. //depot/projects/pci/sys/mips/atheros/if_arge.c#10 integrate .. //depot/projects/pci/sys/mips/atheros/if_argevar.h#5 integrate .. //depot/projects/pci/sys/mips/beri/beri_machdep.c#3 integrate .. //depot/projects/pci/sys/mips/beri/files.beri#2 integrate .. //depot/projects/pci/sys/mips/cavium/octeon_rnd.c#4 integrate .. //depot/projects/pci/sys/mips/conf/DB120#2 integrate .. //depot/projects/pci/sys/mips/conf/DB120.hints#2 integrate .. //depot/projects/pci/sys/mips/include/asm.h#7 integrate .. //depot/projects/pci/sys/mips/include/pcb.h#4 integrate .. //depot/projects/pci/sys/mips/mips/bcopy.S#2 integrate .. //depot/projects/pci/sys/mips/mips/exception.S#7 integrate .. //depot/projects/pci/sys/mips/mips/fp.S#3 integrate .. //depot/projects/pci/sys/mips/mips/support.S#7 integrate .. //depot/projects/pci/sys/mips/mips/swtch.S#6 integrate .. //depot/projects/pci/sys/modules/Makefile#14 integrate .. //depot/projects/pci/sys/modules/cxgb/Makefile#3 integrate .. //depot/projects/pci/sys/modules/cxgbe/Makefile#7 integrate .. //depot/projects/pci/sys/modules/cxgbe/iw_cxgbe/Makefile#1 branch .. //depot/projects/pci/sys/modules/cxgbe/t4_firmware/Makefile#3 integrate .. //depot/projects/pci/sys/modules/cxgbe/t5_firmware/Makefile#2 integrate .. //depot/projects/pci/sys/modules/dtrace/Makefile#7 integrate .. //depot/projects/pci/sys/modules/dtrace/fasttrap/Makefile#4 integrate .. //depot/projects/pci/sys/modules/random/Makefile#6 integrate .. //depot/projects/pci/sys/modules/rdma/Makefile#4 integrate .. //depot/projects/pci/sys/modules/rdma/addr/Makefile#3 delete .. //depot/projects/pci/sys/modules/rdma/cma/Makefile#3 delete .. //depot/projects/pci/sys/modules/rdma/core/Makefile#3 delete .. //depot/projects/pci/sys/modules/rdma/iwcm/Makefile#3 delete .. //depot/projects/pci/sys/net/flowtable.c#4 integrate .. //depot/projects/pci/sys/net/ieee8023ad_lacp.c#7 integrate .. //depot/projects/pci/sys/net/if.c#9 integrate .. //depot/projects/pci/sys/net/if_ethersubr.c#10 integrate .. //depot/projects/pci/sys/net/if_tun.c#9 integrate .. //depot/projects/pci/sys/net/if_var.h#9 integrate .. //depot/projects/pci/sys/net/radix.c#3 integrate .. //depot/projects/pci/sys/net/radix.h#5 integrate .. //depot/projects/pci/sys/net/route.c#7 integrate .. //depot/projects/pci/sys/net/rtsock.c#7 integrate .. //depot/projects/pci/sys/netatalk/at_control.c#5 integrate .. //depot/projects/pci/sys/netgraph/ng_base.c#9 integrate .. //depot/projects/pci/sys/netgraph/ng_iface.c#9 integrate .. //depot/projects/pci/sys/netinet/in.c#9 integrate .. //depot/projects/pci/sys/netinet/in.h#6 integrate .. //depot/projects/pci/sys/netinet/in_var.h#6 integrate .. //depot/projects/pci/sys/netinet/ip_input.c#10 integrate .. //depot/projects/pci/sys/netinet/ip_output.c#9 integrate .. //depot/projects/pci/sys/netinet/sctp_constants.h#7 integrate .. //depot/projects/pci/sys/netinet/sctp_usrreq.c#9 integrate .. //depot/projects/pci/sys/netinet6/in6.c#11 integrate .. //depot/projects/pci/sys/netinet6/in6_var.h#6 integrate .. //depot/projects/pci/sys/netinet6/ip6_input.c#9 integrate .. //depot/projects/pci/sys/netinet6/ip6_output.c#7 integrate .. //depot/projects/pci/sys/netipx/ipx.c#4 integrate .. //depot/projects/pci/sys/netipx/ipx_if.h#3 integrate .. //depot/projects/pci/sys/ofed/drivers/net/mlx4/en_netdev.c#5 integrate .. //depot/projects/pci/sys/ofed/include/linux/gfp.h#5 integrate .. //depot/projects/pci/sys/powerpc/aim/clock.c#4 delete .. //depot/projects/pci/sys/powerpc/aim/interrupt.c#4 integrate .. //depot/projects/pci/sys/powerpc/aim/machdep.c#9 integrate .. //depot/projects/pci/sys/powerpc/aim/nexus.c#5 delete .. //depot/projects/pci/sys/powerpc/aim/trap.c#7 integrate .. //depot/projects/pci/sys/powerpc/aim/vm_machdep.c#6 delete .. //depot/projects/pci/sys/powerpc/booke/clock.c#4 delete .. //depot/projects/pci/sys/powerpc/booke/vm_machdep.c#6 delete .. //depot/projects/pci/sys/powerpc/conf/GENERIC64#10 integrate .. //depot/projects/pci/sys/powerpc/mpc85xx/nexus.c#4 delete .. //depot/projects/pci/sys/powerpc/powermac/ata_kauai.c#4 integrate .. //depot/projects/pci/sys/powerpc/powermac/ata_macio.c#4 integrate .. //depot/projects/pci/sys/powerpc/powerpc/clock.c#1 branch .. //depot/projects/pci/sys/powerpc/powerpc/nexus.c#1 branch .. //depot/projects/pci/sys/powerpc/powerpc/vm_machdep.c#1 branch .. //depot/projects/pci/sys/powerpc/pseries/phyp-hvcall.S#2 integrate .. //depot/projects/pci/sys/powerpc/pseries/phyp_llan.c#1 branch .. //depot/projects/pci/sys/sys/_task.h#3 integrate .. //depot/projects/pci/sys/sys/counter.h#3 integrate .. //depot/projects/pci/sys/sys/devicestat.h#3 integrate .. //depot/projects/pci/sys/sys/param.h#14 integrate .. //depot/projects/pci/sys/sys/random.h#4 integrate .. //depot/projects/pci/sys/sys/systm.h#13 integrate .. //depot/projects/pci/sys/sys/taskqueue.h#6 integrate .. //depot/projects/pci/sys/ufs/ufs/dinode.h#5 integrate .. //depot/projects/pci/sys/xen/xen-os.h#2 integrate Differences ... ==== //depot/projects/pci/sys/amd64/amd64/pmap.c#11 (text+ko) ==== @@ -79,7 +79,7 @@ #define AMD64_NPT_AWARE #include -__FBSDID("$FreeBSD: head/sys/amd64/amd64/pmap.c 256166 2013-10-08 20:04:35Z dim $"); +__FBSDID("$FreeBSD: head/sys/amd64/amd64/pmap.c 256645 2013-10-16 18:20:27Z neel $"); /* * Manages physical address maps. @@ -371,6 +371,8 @@ SYSCTL_INT(_vm_pmap, OID_AUTO, pcid_enabled, CTLFLAG_RDTUN, &pmap_pcid_enabled, 0, "Is TLB Context ID enabled ?"); int invpcid_works = 0; +SYSCTL_INT(_vm_pmap, OID_AUTO, invpcid_works, CTLFLAG_RD, &invpcid_works, 0, + "Is the invpcid instruction available ?"); static int pmap_pcid_save_cnt_proc(SYSCTL_HANDLER_ARGS) ==== //depot/projects/pci/sys/amd64/conf/GENERIC#13 (text+ko) ==== @@ -16,7 +16,7 @@ # If you are in doubt as to the purpose or necessity of a line, check first # in NOTES. # -# $FreeBSD: head/sys/amd64/conf/GENERIC 255752 2013-09-21 01:07:27Z gjb $ +# $FreeBSD: head/sys/amd64/conf/GENERIC 256328 2013-10-11 19:40:28Z gjb $ cpu HAMMER ident GENERIC @@ -72,7 +72,6 @@ options KDTRACE_HOOKS # Kernel DTrace hooks options DDB_CTF # Kernel ELF linker loads CTF data options INCLUDE_CONFIG_FILE # Include this file in kernel -options XENHVM # Include Xen support # Debugging support. Always need this: options KDB # Enable kernel debugger support. @@ -345,8 +344,10 @@ # HyperV drivers device hyperv # HyperV drivers -# Xen support -device xenpci # Generic Xen bus +# Xen HVM Guest Optimizations +# NOTE: XENHVM depends on xenpci. They must be added or removed together. +options XENHVM # Xen HVM kernel infrastructure +device xenpci # Xen HVM Hypervisor services driver # VMware support device vmx # VMware VMXNET3 Ethernet ==== //depot/projects/pci/sys/amd64/include/vmm.h#4 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/include/vmm.h 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/include/vmm.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMM_H_ @@ -223,6 +223,7 @@ VM_CAP_MTRAP_EXIT, VM_CAP_PAUSE_EXIT, VM_CAP_UNRESTRICTED_GUEST, + VM_CAP_ENABLE_INVPCID, VM_CAP_MAX }; ==== //depot/projects/pci/sys/amd64/vmm/intel/vmx.c#5 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256645 2013-10-16 18:20:27Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256072 2013-10-05 21:22:35Z neel $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256645 2013-10-16 18:20:27Z neel $"); #include #include @@ -164,6 +164,7 @@ static int cap_pause_exit; static int cap_unrestricted_guest; static int cap_monitor_trap; +static int cap_invpcid; static struct unrhdr *vpid_unr; static u_int vpid_alloc_failed; @@ -660,6 +661,11 @@ PROCBASED2_UNRESTRICTED_GUEST, 0, &tmp) == 0); + cap_invpcid = (vmx_set_ctlreg(MSR_VMX_PROCBASED_CTLS2, + MSR_VMX_PROCBASED_CTLS2, PROCBASED2_ENABLE_INVPCID, 0, + &tmp) == 0); + + /* Initialize EPT */ error = ept_init(); if (error) { @@ -828,6 +834,7 @@ vmx->cap[i].set = 0; vmx->cap[i].proc_ctls = procbased_ctls; + vmx->cap[i].proc_ctls2 = procbased_ctls2; vmx->state[i].lastcpu = -1; vmx->state[i].vpid = vpid[i]; @@ -1932,6 +1939,10 @@ if (cap_unrestricted_guest) ret = 0; break; + case VM_CAP_ENABLE_INVPCID: + if (cap_invpcid) + ret = 0; + break; default: break; } @@ -1988,11 +1999,21 @@ case VM_CAP_UNRESTRICTED_GUEST: if (cap_unrestricted_guest) { retval = 0; - baseval = procbased_ctls2; + pptr = &vmx->cap[vcpu].proc_ctls2; + baseval = *pptr; flag = PROCBASED2_UNRESTRICTED_GUEST; reg = VMCS_SEC_PROC_BASED_CTLS; } break; + case VM_CAP_ENABLE_INVPCID: + if (cap_invpcid) { + retval = 0; + pptr = &vmx->cap[vcpu].proc_ctls2; + baseval = *pptr; + flag = PROCBASED2_ENABLE_INVPCID; + reg = VMCS_SEC_PROC_BASED_CTLS; + } + break; default: break; } ==== //depot/projects/pci/sys/amd64/vmm/intel/vmx.h#3 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx.h 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMX_H_ @@ -84,6 +84,7 @@ struct vmxcap { int set; uint32_t proc_ctls; + uint32_t proc_ctls2; }; struct vmxstate { ==== //depot/projects/pci/sys/amd64/vmm/intel/vmx_controls.h#2 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx_controls.h 245678 2013-01-20 03:42:49Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx_controls.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMX_CONTROLS_H_ @@ -68,6 +68,7 @@ #define PROCBASED2_WBINVD_EXITING (1 << 6) #define PROCBASED2_UNRESTRICTED_GUEST (1 << 7) #define PROCBASED2_PAUSE_LOOP_EXITING (1 << 10) +#define PROCBASED2_ENABLE_INVPCID (1 << 12) /* VM Exit Controls */ #define VM_EXIT_SAVE_DEBUG_CONTROLS (1 << 2) ==== //depot/projects/pci/sys/amd64/vmm/vmm_dev.c#4 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256570 2013-10-16 00:58:47Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256072 2013-10-05 21:22:35Z neel $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256570 2013-10-16 00:58:47Z neel $"); #include #include @@ -60,7 +60,10 @@ struct vm *vm; /* vm instance cookie */ struct cdev *cdev; SLIST_ENTRY(vmmdev_softc) link; + int flags; }; +#define VSC_LINKED 0x01 + static SLIST_HEAD(, vmmdev_softc) head; static struct mtx vmmdev_mtx; @@ -104,7 +107,6 @@ static char zerobuf[PAGE_SIZE]; error = 0; - mtx_lock(&vmmdev_mtx); sc = vmmdev_lookup2(cdev); if (sc == NULL) error = ENXIO; @@ -134,8 +136,6 @@ vm_gpa_release(cookie); } } - - mtx_unlock(&vmmdev_mtx); return (error); } @@ -379,34 +379,28 @@ int error; struct vmmdev_softc *sc; - mtx_lock(&vmmdev_mtx); - sc = vmmdev_lookup2(cdev); if (sc != NULL && (nprot & PROT_EXEC) == 0) error = vm_get_memobj(sc->vm, *offset, size, offset, object); else error = EINVAL; - mtx_unlock(&vmmdev_mtx); - return (error); } static void -vmmdev_destroy(struct vmmdev_softc *sc, boolean_t unlink) +vmmdev_destroy(void *arg) { - /* - * XXX must stop virtual machine instances that may be still - * running and cleanup their state. - */ - if (sc->cdev) + struct vmmdev_softc *sc = arg; + + if (sc->cdev != NULL) destroy_dev(sc->cdev); - if (sc->vm) + if (sc->vm != NULL) vm_destroy(sc->vm); - if (unlink) { + if ((sc->flags & VSC_LINKED) != 0) { mtx_lock(&vmmdev_mtx); SLIST_REMOVE(&head, sc, vmmdev_softc, link); mtx_unlock(&vmmdev_mtx); @@ -421,27 +415,38 @@ int error; char buf[VM_MAX_NAMELEN]; struct vmmdev_softc *sc; + struct cdev *cdev; strlcpy(buf, "beavis", sizeof(buf)); error = sysctl_handle_string(oidp, buf, sizeof(buf), req); if (error != 0 || req->newptr == NULL) return (error); - /* - * XXX TODO if any process has this device open then fail - */ - mtx_lock(&vmmdev_mtx); sc = vmmdev_lookup(buf); - if (sc == NULL) { + if (sc == NULL || sc->cdev == NULL) { mtx_unlock(&vmmdev_mtx); return (EINVAL); } - sc->cdev->si_drv1 = NULL; + /* + * The 'cdev' will be destroyed asynchronously when 'si_threadcount' + * goes down to 0 so we should not do it again in the callback. + */ + cdev = sc->cdev; + sc->cdev = NULL; mtx_unlock(&vmmdev_mtx); - vmmdev_destroy(sc, TRUE); + /* + * Schedule the 'cdev' to be destroyed: + * + * - any new operations on this 'cdev' will return an error (ENXIO). + * + * - when the 'si_threadcount' dwindles down to zero the 'cdev' will + * be destroyed and the callback will be invoked in a taskqueue + * context. + */ + destroy_dev_sched_cb(cdev, vmmdev_destroy, sc); return (0); } @@ -462,6 +467,7 @@ { int error; struct vm *vm; + struct cdev *cdev; struct vmmdev_softc *sc, *sc2; char buf[VM_MAX_NAMELEN]; @@ -489,22 +495,28 @@ */ mtx_lock(&vmmdev_mtx); sc2 = vmmdev_lookup(buf); - if (sc2 == NULL) + if (sc2 == NULL) { SLIST_INSERT_HEAD(&head, sc, link); + sc->flags |= VSC_LINKED; + } mtx_unlock(&vmmdev_mtx); if (sc2 != NULL) { - vmmdev_destroy(sc, FALSE); + vmmdev_destroy(sc); return (EEXIST); } - error = make_dev_p(MAKEDEV_CHECKNAME, &sc->cdev, &vmmdevsw, NULL, + error = make_dev_p(MAKEDEV_CHECKNAME, &cdev, &vmmdevsw, NULL, UID_ROOT, GID_WHEEL, 0600, "vmm/%s", buf); if (error != 0) { - vmmdev_destroy(sc, TRUE); + vmmdev_destroy(sc); return (error); } + + mtx_lock(&vmmdev_mtx); + sc->cdev = cdev; sc->cdev->si_drv1 = sc; + mtx_unlock(&vmmdev_mtx); return (0); } ==== //depot/projects/pci/sys/amd64/vmm/x86.c#4 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/x86.c 255645 2013-09-17 17:56:53Z grehan $ + * $FreeBSD: head/sys/amd64/vmm/x86.c 256645 2013-10-16 18:20:27Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/x86.c 255645 2013-09-17 17:56:53Z grehan $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/x86.c 256645 2013-10-16 18:20:27Z neel $"); #include #include @@ -53,7 +53,7 @@ x86_emulate_cpuid(struct vm *vm, int vcpu_id, uint32_t *eax, uint32_t *ebx, uint32_t *ecx, uint32_t *edx) { - int error; + int error, enable_invpcid; unsigned int func, regs[4]; enum x2apic_state x2apic_state; @@ -202,8 +202,22 @@ regs[0] |= 0x04008000; break; + case CPUID_0000_0007: + regs[0] = 0; + regs[1] = 0; + regs[2] = 0; + regs[3] = 0; + + /* leaf 0 */ + if (*ecx == 0) { + error = vm_get_capability(vm, vcpu_id, + VM_CAP_ENABLE_INVPCID, &enable_invpcid); + if (error == 0 && enable_invpcid) + regs[1] |= CPUID_STDEXT_INVPCID; + } + break; + case CPUID_0000_0006: - case CPUID_0000_0007: case CPUID_0000_000A: case CPUID_0000_000D: /* ==== //depot/projects/pci/sys/arm/arm/busdma_machdep-v6.c#5 (text+ko) ==== @@ -31,7 +31,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/busdma_machdep-v6.c 254229 2013-08-11 21:21:02Z cognet $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/busdma_machdep-v6.c 256638 2013-10-16 16:35:25Z ian $"); #define _ARM32_BUS_DMA_PRIVATE #include @@ -425,14 +425,21 @@ if (_bus_dma_can_bounce(newtag->lowaddr, newtag->highaddr) || newtag->alignment > 1) newtag->flags |= BUS_DMA_COULD_BOUNCE; - else - maxsize = 2; /* Need at most 2 bounce pages for unaligned access on cache line boundaries */ + /* + * Any request can auto-bounce due to cacheline alignment, in addition + * to any alignment or boundary specifications in the tag, so if the + * ALLOCNOW flag is set, there's always work to do. + */ if ((flags & BUS_DMA_ALLOCNOW) != 0) { struct bounce_zone *bz; - - /* Must bounce */ - + /* + * Round size up to a full page, and add one more page because + * there can always be one more boundary crossing than the + * number of pages in a transfer. + */ + maxsize = roundup2(maxsize, PAGE_SIZE) + PAGE_SIZE; + if ((error = alloc_bounce_zone(newtag)) != 0) { free(newtag, M_DEVBUF); return (error); @@ -518,20 +525,22 @@ STAILQ_INIT(&(mapp->bpages)); /* - * Attempt to add pages to our pool on a per-instance - * basis up to a sane limit. + * Attempt to add pages to our pool on a per-instance basis up to a sane + * limit. Even if the tag isn't flagged as COULD_BOUNCE due to + * alignment and boundary constraints, it could still auto-bounce due to + * cacheline alignment, which requires at most two bounce pages. */ if (dmat->flags & BUS_DMA_COULD_BOUNCE) maxpages = MAX_BPAGES; else - maxpages = 2 * bz->map_count; /* Only need at most 2 pages for buffers unaligned on cache line boundaries */ + maxpages = 2 * bz->map_count; if ((dmat->flags & BUS_DMA_MIN_ALLOC_COMP) == 0 || (bz->map_count > 0 && bz->total_bpages < maxpages)) { int pages; - pages = MAX(atop(dmat->maxsize), 1); + pages = atop(roundup2(dmat->maxsize, PAGE_SIZE)) + 1; pages = MIN(maxpages - bz->total_bpages, pages); - pages = MAX(pages, 1); + pages = MAX(pages, 2); if (alloc_bounce_pages(dmat, pages) < pages) return (ENOMEM); @@ -1273,7 +1282,12 @@ } break; + case BUS_DMASYNC_POSTREAD: + case BUS_DMASYNC_POSTWRITE: + case BUS_DMASYNC_POSTREAD | BUS_DMASYNC_POSTWRITE: + break; default: + panic("unsupported combination of sync operations: 0x%08x\n", op); break; } } ==== //depot/projects/pci/sys/arm/arm/cpufunc.c#6 (text+ko) ==== @@ -45,7 +45,7 @@ * Created : 30/01/97 */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc.c 253857 2013-08-01 10:06:19Z ganbold $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc.c 256629 2013-10-16 15:20:27Z br $"); #include #include @@ -1476,7 +1476,8 @@ } #endif /* CPU_ARM1136 || CPU_ARM1176 */ #ifdef CPU_CORTEXA - if (cputype == CPU_ID_CORTEXA7 || + if (cputype == CPU_ID_CORTEXA5 || + cputype == CPU_ID_CORTEXA7 || cputype == CPU_ID_CORTEXA8R1 || cputype == CPU_ID_CORTEXA8R2 || cputype == CPU_ID_CORTEXA8R3 || ==== //depot/projects/pci/sys/arm/arm/cpufunc_asm_armv7.S#3 (text+ko) ==== @@ -30,7 +30,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc_asm_armv7.S 248361 2013-03-16 02:48:49Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc_asm_armv7.S 256707 2013-10-17 21:06:19Z cognet $"); .cpu cortex-a8 @@ -57,9 +57,9 @@ #define PT_OUTER_WBWA (1 << 3) #ifdef SMP -#define PT_ATTR (PT_S|PT_INNER_WT|PT_OUTER_WT|PT_NOS) +#define PT_ATTR (PT_S|PT_INNER_WBWA|PT_OUTER_WBWA|PT_NOS) #else -#define PT_ATTR (PT_INNER_WT|PT_OUTER_WT) +#define PT_ATTR (PT_INNER_WBWA|PT_OUTER_WBWA) #endif ENTRY(armv7_setttb) @@ -98,7 +98,7 @@ ldr r1, .Lpage_mask bic r0, r0, r1 #ifdef SMP - mcr p15, 0, r0, c8, c3, 1 /* flush D tlb single entry Inner Shareable*/ + mcr p15, 0, r0, c8, c3, 3 /* flush D tlb single entry Inner Shareable*/ mcr p15, 0, r0, c7, c1, 6 /* flush BTB Inner Shareable */ #else mcr p15, 0, r0, c8, c7, 1 /* flush D tlb single entry */ ==== //depot/projects/pci/sys/arm/arm/fusu.S#4 (text+ko) ==== @@ -37,7 +37,7 @@ #include #include #include "assym.s" -__FBSDID("$FreeBSD: head/sys/arm/arm/fusu.S 248361 2013-03-16 02:48:49Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/fusu.S 256748 2013-10-18 17:21:47Z cognet $"); #ifdef _ARM_ARCH_6 #define GET_PCB(tmp) \ @@ -67,11 +67,27 @@ stmfd sp!, {r4, r5} adr r4, .Lcasuwordfault str r4, [r3, #PCB_ONFAULT] +#ifdef _ARM_ARCH_6 +1: + cmp r0, #KERNBASE + mvnhs r0, #0 + bhs 2f + + ldrex r5, [r0] + cmp r5, r1 + movne r0, r5 + bne 2f + strex r5, r2, [r0] + cmp r5, #0 + bne 1b +#else ldrt r5, [r0] cmp r5, r1 movne r0, r5 streqt r2, [r0] +#endif moveq r0, r1 +2: ldmfd sp!, {r4, r5} mov r1, #0x00000000 str r1, [r3, #PCB_ONFAULT] ==== //depot/projects/pci/sys/arm/arm/identcpu.c#6 (text+ko) ==== @@ -42,7 +42,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/identcpu.c 253857 2013-08-01 10:06:19Z ganbold $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/identcpu.c 256629 2013-10-16 15:20:27Z br $"); #include #include #include @@ -236,6 +236,8 @@ { CPU_ID_ARM1026EJS, CPU_CLASS_ARM10EJ, "ARM1026EJ-S", generic_steppings }, + { CPU_ID_CORTEXA5, CPU_CLASS_CORTEXA, "Cortex A5", + generic_steppings }, { CPU_ID_CORTEXA7, CPU_CLASS_CORTEXA, "Cortex A7", generic_steppings }, { CPU_ID_CORTEXA8R1, CPU_CLASS_CORTEXA, "Cortex A8-r1", ==== //depot/projects/pci/sys/arm/arm/locore.S#4 (text+ko) ==== @@ -39,7 +39,7 @@ #include #include -__FBSDID("$FreeBSD: head/sys/arm/arm/locore.S 250928 2013-05-23 12:07:41Z gber $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/locore.S 256628 2013-10-16 14:24:22Z ian $"); /* What size should this really be ? It is only used by initarm() */ #define INIT_ARM_STACK_SIZE (2048 * 4) @@ -187,7 +187,7 @@ mrc p15, 0, r0, c1, c0, 0 #ifdef _ARM_ARCH_6 orr r0, r0, #(CPU_CONTROL_V6_EXTPAGE | CPU_CONTROL_UNAL_ENABLE) - orr r2, r2, #(CPU_CONTROL_AFLT_ENABLE) + orr r0, r0, #(CPU_CONTROL_AFLT_ENABLE) orr r0, r0, #(CPU_CONTROL_AF_ENABLE) #endif orr r0, r0, #(CPU_CONTROL_MMU_ENABLE) ==== //depot/projects/pci/sys/arm/arm/machdep.c#7 (text+ko) ==== @@ -49,7 +49,7 @@ #include "opt_timer.h" #include -__FBSDID("$FreeBSD: head/sys/arm/arm/machdep.c 255091 2013-08-31 07:08:21Z rpaulo $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/machdep.c 256672 2013-10-17 09:57:09Z cognet $"); #include #include @@ -1169,11 +1169,15 @@ */ if (availmem_regions[i].mr_start > 0 || availmem_regions[i].mr_size > PAGE_SIZE) { + vm_size_t size; phys_avail[j] = availmem_regions[i].mr_start; - if (phys_avail[j] == 0) + + size = availmem_regions[i].mr_size; + if (phys_avail[j] == 0) { phys_avail[j] += PAGE_SIZE; - phys_avail[j + 1] = availmem_regions[i].mr_start + - availmem_regions[i].mr_size; + size -= PAGE_SIZE; + } + phys_avail[j + 1] = availmem_regions[i].mr_start + size; } else j -= 2; } ==== //depot/projects/pci/sys/arm/arm/pl310.c#3 (text+ko) ==== @@ -29,7 +29,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/pl310.c 253788 2013-07-29 21:45:39Z cognet $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/pl310.c 256647 2013-10-16 19:06:44Z ian $"); #include #include #include @@ -341,8 +341,13 @@ ctrl_value = pl310_read4(sc, PL310_CTRL); if (sc->sc_enabled && !(ctrl_value & CTRL_ENABLED)) { >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Sun Oct 20 19:56:16 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 37301A48; Sun, 20 Oct 2013 19:56:16 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id EB9E9A46 for ; Sun, 20 Oct 2013 19:56:15 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id D52AE2C32 for ; Sun, 20 Oct 2013 19:56:15 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9KJuFN8054221 for ; Sun, 20 Oct 2013 19:56:15 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9KJuFgx054212 for perforce@freebsd.org; Sun, 20 Oct 2013 19:56:15 GMT (envelope-from jhb@freebsd.org) Date: Sun, 20 Oct 2013 19:56:15 GMT Message-Id: <201310201956.r9KJuFgx054212@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1162073 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 20 Oct 2013 19:56:16 -0000 http://p4web.freebsd.org/@@1162073?ac=10 Change 1162073 by jhb@jhb_pippin on 2013/10/20 19:56:02 IFC @1161566 Affected files ... .. //depot/projects/fadvise/lib/libc/gen/getutxent.c#5 integrate .. //depot/projects/fadvise/sys/amd64/amd64/pmap.c#8 integrate .. //depot/projects/fadvise/sys/amd64/conf/GENERIC#11 integrate .. //depot/projects/fadvise/sys/amd64/include/vmm.h#2 integrate .. //depot/projects/fadvise/sys/amd64/vmm/intel/vmx.c#2 integrate .. //depot/projects/fadvise/sys/amd64/vmm/intel/vmx.h#2 integrate .. //depot/projects/fadvise/sys/amd64/vmm/intel/vmx_controls.h#2 integrate .. //depot/projects/fadvise/sys/amd64/vmm/vmm_dev.c#2 integrate .. //depot/projects/fadvise/sys/amd64/vmm/x86.c#2 integrate .. //depot/projects/fadvise/sys/arm/arm/busdma_machdep-v6.c#2 integrate .. //depot/projects/fadvise/sys/arm/arm/cpufunc.c#4 integrate .. //depot/projects/fadvise/sys/arm/arm/cpufunc_asm_armv7.S#2 integrate .. //depot/projects/fadvise/sys/arm/arm/fusu.S#3 integrate .. //depot/projects/fadvise/sys/arm/arm/identcpu.c#4 integrate .. //depot/projects/fadvise/sys/arm/arm/locore.S#4 integrate .. //depot/projects/fadvise/sys/arm/arm/machdep.c#7 integrate .. //depot/projects/fadvise/sys/arm/arm/pl310.c#2 integrate .. //depot/projects/fadvise/sys/arm/arm/pmap-v6.c#2 integrate .. //depot/projects/fadvise/sys/arm/conf/HL201.hints#2 integrate .. //depot/projects/fadvise/sys/arm/freescale/imx/imx51_ccm.c#2 integrate .. //depot/projects/fadvise/sys/arm/include/armreg.h#5 integrate .. //depot/projects/fadvise/sys/arm/include/pmap.h#5 integrate .. //depot/projects/fadvise/sys/arm/mv/common.c#5 integrate .. //depot/projects/fadvise/sys/arm/mv/mv_localbus.c#3 integrate .. //depot/projects/fadvise/sys/arm/mv/mvreg.h#4 integrate .. //depot/projects/fadvise/sys/arm/versatile/versatile_pci.c#2 integrate .. //depot/projects/fadvise/sys/arm/xscale/i80321/ep80219_machdep.c#5 integrate .. //depot/projects/fadvise/sys/arm/xscale/i80321/iq31244_machdep.c#5 integrate .. //depot/projects/fadvise/sys/arm/xscale/i8134x/crb_machdep.c#5 integrate .. //depot/projects/fadvise/sys/arm/xscale/ixp425/avila_machdep.c#5 integrate .. //depot/projects/fadvise/sys/arm/xscale/pxa/pxa_machdep.c#5 integrate .. //depot/projects/fadvise/sys/boot/forth/loader.conf#7 integrate .. //depot/projects/fadvise/sys/boot/i386/btx/btx/btx.S#4 integrate .. //depot/projects/fadvise/sys/cam/ata/ata_all.c#5 integrate .. //depot/projects/fadvise/sys/cam/ata/ata_all.h#4 integrate .. //depot/projects/fadvise/sys/cam/ata/ata_da.c#8 integrate .. //depot/projects/fadvise/sys/cam/ata/ata_pmp.c#5 integrate .. //depot/projects/fadvise/sys/cam/cam_periph.c#7 integrate .. //depot/projects/fadvise/sys/cam/cam_periph.h#5 integrate .. //depot/projects/fadvise/sys/cam/cam_xpt.c#7 integrate .. //depot/projects/fadvise/sys/cam/cam_xpt_periph.h#4 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_all.c#6 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_all.h#7 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_cd.c#8 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_ch.c#6 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_da.c#8 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_enc.c#4 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_pass.c#5 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_pt.c#4 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_sa.c#7 integrate .. //depot/projects/fadvise/sys/cam/scsi/scsi_sg.c#5 integrate .. //depot/projects/fadvise/sys/cddl/compat/opensolaris/sys/debug.h#2 integrate .. //depot/projects/fadvise/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c#6 integrate .. //depot/projects/fadvise/sys/cddl/contrib/opensolaris/uts/common/sys/dtrace.h#5 integrate .. //depot/projects/fadvise/sys/cddl/contrib/opensolaris/uts/powerpc/dtrace/fasttrap_isa.c#2 integrate .. //depot/projects/fadvise/sys/cddl/contrib/opensolaris/uts/powerpc/sys/fasttrap_isa.h#2 integrate .. //depot/projects/fadvise/sys/cddl/dev/dtrace/dtrace_sysctl.c#3 integrate .. //depot/projects/fadvise/sys/conf/NOTES#9 integrate .. //depot/projects/fadvise/sys/conf/files#8 integrate .. //depot/projects/fadvise/sys/conf/files.amd64#8 integrate .. //depot/projects/fadvise/sys/conf/files.i386#7 integrate .. //depot/projects/fadvise/sys/conf/files.powerpc#7 integrate .. //depot/projects/fadvise/sys/conf/kmod.mk#7 integrate .. //depot/projects/fadvise/sys/conf/newvers.sh#6 integrate .. //depot/projects/fadvise/sys/conf/options#8 integrate .. //depot/projects/fadvise/sys/contrib/dev/run/rt2870.fw.uu#3 integrate .. //depot/projects/fadvise/sys/contrib/rdma/core_priv.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_addr.h#4 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_cache.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_cm.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_fmr_pool.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_mad.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_marshall.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_pack.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_sa.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_smi.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_umem.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_user_cm.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_user_mad.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_user_sa.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_user_verbs.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/ib_verbs.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/iw_cm.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/krping/getopt.c#3 integrate .. //depot/projects/fadvise/sys/contrib/rdma/krping/krping.c#4 integrate .. //depot/projects/fadvise/sys/contrib/rdma/krping/krping.h#4 integrate .. //depot/projects/fadvise/sys/contrib/rdma/krping/krping_dev.c#4 integrate .. //depot/projects/fadvise/sys/contrib/rdma/rdma_addr.c#4 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_cache.c#4 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_cm.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_cm_ib.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_cma.c#4 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_device.c#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_iwcm.c#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_user_cm.h#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/rdma_verbs.c#3 delete .. //depot/projects/fadvise/sys/contrib/rdma/types.h#3 delete .. //depot/projects/fadvise/sys/dev/altera/atse/a_api.h#1 branch .. //depot/projects/fadvise/sys/dev/altera/atse/if_atse.c#1 branch .. //depot/projects/fadvise/sys/dev/altera/atse/if_atse_fdt.c#1 branch .. //depot/projects/fadvise/sys/dev/altera/atse/if_atse_nexus.c#1 branch .. //depot/projects/fadvise/sys/dev/altera/atse/if_atsereg.h#1 branch .. //depot/projects/fadvise/sys/dev/altera/sdcard/altera_sdcard.c#2 integrate .. //depot/projects/fadvise/sys/dev/altera/sdcard/altera_sdcard_io.c#2 integrate .. //depot/projects/fadvise/sys/dev/ata/ata-all.c#7 integrate .. //depot/projects/fadvise/sys/dev/ata/ata-card.c#5 integrate .. //depot/projects/fadvise/sys/dev/ath/if_ath.c#10 integrate .. //depot/projects/fadvise/sys/dev/ath/if_ath_tx.c#8 integrate .. //depot/projects/fadvise/sys/dev/bxe/bxe.c#2 integrate .. //depot/projects/fadvise/sys/dev/bxe/bxe_stats.c#2 integrate .. //depot/projects/fadvise/sys/dev/bxe/ecore_sp.h#2 integrate .. //depot/projects/fadvise/sys/dev/cfi/cfi_core.c#4 integrate .. //depot/projects/fadvise/sys/dev/cxgb/ulp/iw_cxgb/iw_cxgb.c#4 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/adapter.h#7 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/common/common.h#5 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t4fw-1.8.11.0.bin.uu#2 delete .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t4fw-1.9.12.0.bin.uu#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t4fw_cfg.txt#4 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt#4 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t4fw_interface.h#4 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t5fw-1.8.22.0.bin.uu#2 delete .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t5fw-1.9.12.0.bin.uu#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t5fw_cfg.txt#2 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt#2 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/cm.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/cq.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/device.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/ev.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/id_table.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/mem.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/provider.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/qp.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/resource.c#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/t4.h#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/iw_cxgbe/user.h#1 branch .. //depot/projects/fadvise/sys/dev/cxgbe/t4_main.c#8 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/t4_sge.c#6 integrate .. //depot/projects/fadvise/sys/dev/cxgbe/tom/t4_cpl_io.c#3 integrate .. //depot/projects/fadvise/sys/dev/drm2/radeon/radeon_drv.c#2 integrate .. //depot/projects/fadvise/sys/dev/etherswitch/arswitch/arswitch.c#3 integrate .. //depot/projects/fadvise/sys/dev/etherswitch/arswitch/arswitch_9340.c#1 branch .. //depot/projects/fadvise/sys/dev/etherswitch/arswitch/arswitch_9340.h#1 branch .. //depot/projects/fadvise/sys/dev/etherswitch/arswitch/arswitch_vlans.c#2 integrate .. //depot/projects/fadvise/sys/dev/etherswitch/arswitch/arswitchreg.h#3 integrate .. //depot/projects/fadvise/sys/dev/etherswitch/arswitch/arswitchvar.h#3 integrate .. //depot/projects/fadvise/sys/dev/fdt/fdtbus.c#7 integrate .. //depot/projects/fadvise/sys/dev/glxsb/glxsb.c#4 integrate .. //depot/projects/fadvise/sys/dev/hifn/hifn7751.c#6 integrate .. //depot/projects/fadvise/sys/dev/hyperv/include/hyperv.h#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/netvsc/hv_net_vsc.h#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/netvsc/hv_netvsc_drv_freebsd.c#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/stordisengage/hv_ata_pci_disengage.c#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/storvsc/hv_storvsc_drv_freebsd.c#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/storvsc/hv_vstorage.h#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/vmbus/hv_channel.c#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/vmbus/hv_hv.c#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/vmbus/hv_vmbus_drv_freebsd.c#2 integrate .. //depot/projects/fadvise/sys/dev/hyperv/vmbus/hv_vmbus_priv.h#2 integrate .. //depot/projects/fadvise/sys/dev/isp/isp.c#6 integrate .. //depot/projects/fadvise/sys/dev/isp/isp_freebsd.c#7 integrate .. //depot/projects/fadvise/sys/dev/isp/isp_freebsd.h#8 integrate .. //depot/projects/fadvise/sys/dev/isp/ispvar.h#5 integrate .. //depot/projects/fadvise/sys/dev/random/dummy_rng.c#1 branch .. //depot/projects/fadvise/sys/dev/random/harvest.c#4 integrate .. //depot/projects/fadvise/sys/dev/random/hash.h#3 integrate .. //depot/projects/fadvise/sys/dev/random/ivy.c#2 integrate .. //depot/projects/fadvise/sys/dev/random/live_entropy_sources.c#1 branch .. //depot/projects/fadvise/sys/dev/random/live_entropy_sources.h#1 branch .. //depot/projects/fadvise/sys/dev/random/nehemiah.c#4 integrate .. //depot/projects/fadvise/sys/dev/random/pseudo_rng.c#2 delete .. //depot/projects/fadvise/sys/dev/random/random_adaptors.c#2 integrate .. //depot/projects/fadvise/sys/dev/random/random_adaptors.h#2 integrate .. //depot/projects/fadvise/sys/dev/random/random_harvestq.c#2 integrate .. //depot/projects/fadvise/sys/dev/random/random_harvestq.h#2 integrate .. //depot/projects/fadvise/sys/dev/random/randomdev.c#3 integrate .. //depot/projects/fadvise/sys/dev/random/randomdev.h#3 integrate .. //depot/projects/fadvise/sys/dev/random/randomdev_soft.c#3 integrate .. //depot/projects/fadvise/sys/dev/random/randomdev_soft.h#3 integrate .. //depot/projects/fadvise/sys/dev/random/rwfile.c#1 branch .. //depot/projects/fadvise/sys/dev/random/rwfile.h#1 branch .. //depot/projects/fadvise/sys/dev/random/yarrow.c#3 integrate .. //depot/projects/fadvise/sys/dev/random/yarrow.h#3 integrate .. //depot/projects/fadvise/sys/dev/rndtest/rndtest.c#4 integrate .. //depot/projects/fadvise/sys/dev/safe/safe.c#6 integrate .. //depot/projects/fadvise/sys/dev/syscons/scmouse.c#3 integrate .. //depot/projects/fadvise/sys/dev/syscons/syscons.c#7 integrate .. //depot/projects/fadvise/sys/dev/ubsec/ubsec.c#6 integrate .. //depot/projects/fadvise/sys/dev/usb/controller/musb_otg.c#6 integrate .. //depot/projects/fadvise/sys/dev/usb/controller/musb_otg.h#4 integrate .. //depot/projects/fadvise/sys/dev/usb/controller/xhci.c#8 integrate .. //depot/projects/fadvise/sys/dev/usb/serial/uslcom.c#4 integrate .. //depot/projects/fadvise/sys/dev/usb/usbdevs#8 integrate .. //depot/projects/fadvise/sys/dev/usb/wlan/if_run.c#9 integrate .. //depot/projects/fadvise/sys/dev/usb/wlan/if_runreg.h#3 integrate .. //depot/projects/fadvise/sys/dev/vmware/vmxnet3/if_vmx.c#2 integrate .. //depot/projects/fadvise/sys/dev/vmware/vmxnet3/if_vmxvar.h#2 integrate .. //depot/projects/fadvise/sys/dev/xen/blkfront/blkfront.c#7 integrate .. //depot/projects/fadvise/sys/fs/devfs/devfs_vnops.c#9 integrate .. //depot/projects/fadvise/sys/geom/geom_dev.c#6 integrate .. //depot/projects/fadvise/sys/geom/geom_disk.c#6 integrate .. //depot/projects/fadvise/sys/geom/geom_io.c#3 integrate .. //depot/projects/fadvise/sys/geom/geom_slice.c#4 integrate .. //depot/projects/fadvise/sys/geom/label/g_label.c#3 integrate .. //depot/projects/fadvise/sys/geom/part/g_part.c#7 integrate .. //depot/projects/fadvise/sys/geom/part/g_part_apm.c#6 integrate .. //depot/projects/fadvise/sys/geom/part/g_part_bsd.c#4 integrate .. //depot/projects/fadvise/sys/geom/part/g_part_ebr.c#4 integrate .. //depot/projects/fadvise/sys/geom/part/g_part_gpt.c#6 integrate .. //depot/projects/fadvise/sys/geom/part/g_part_mbr.c#5 integrate .. //depot/projects/fadvise/sys/geom/part/g_part_pc98.c#3 integrate .. //depot/projects/fadvise/sys/geom/part/g_part_vtoc8.c#5 integrate .. //depot/projects/fadvise/sys/geom/raid/g_raid.c#4 integrate .. //depot/projects/fadvise/sys/geom/raid/g_raid.h#4 integrate .. //depot/projects/fadvise/sys/geom/raid/tr_concat.c#3 integrate .. //depot/projects/fadvise/sys/geom/raid/tr_raid0.c#3 integrate .. //depot/projects/fadvise/sys/geom/raid/tr_raid1.c#5 integrate .. //depot/projects/fadvise/sys/geom/raid/tr_raid1e.c#5 integrate .. //depot/projects/fadvise/sys/geom/raid/tr_raid5.c#3 integrate .. //depot/projects/fadvise/sys/i386/conf/GENERIC#10 integrate .. //depot/projects/fadvise/sys/kern/kern_exec.c#6 integrate .. //depot/projects/fadvise/sys/kern/kern_intr.c#5 integrate .. //depot/projects/fadvise/sys/kern/kern_mbuf.c#3 integrate .. //depot/projects/fadvise/sys/kern/makesyscalls.sh#5 integrate .. //depot/projects/fadvise/sys/kern/subr_bus.c#6 integrate .. //depot/projects/fadvise/sys/kern/subr_devstat.c#4 integrate .. //depot/projects/fadvise/sys/kern/subr_taskqueue.c#3 integrate .. //depot/projects/fadvise/sys/kern/sys_generic.c#7 integrate .. //depot/projects/fadvise/sys/kern/vfs_bio.c#9 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar71xx_chip.c#5 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar71xx_cpudef.h#5 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar71xx_machdep.c#6 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar71xx_spi.c#3 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar724x_chip.c#5 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar91xx_chip.c#5 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar933x_chip.c#2 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar933xreg.h#2 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar934x_chip.c#2 integrate .. //depot/projects/fadvise/sys/mips/atheros/ar934xreg.h#2 integrate .. //depot/projects/fadvise/sys/mips/atheros/if_arge.c#6 integrate .. //depot/projects/fadvise/sys/mips/atheros/if_argevar.h#4 integrate .. //depot/projects/fadvise/sys/mips/beri/beri_machdep.c#2 integrate .. //depot/projects/fadvise/sys/mips/beri/files.beri#2 integrate .. //depot/projects/fadvise/sys/mips/cavium/octeon_rnd.c#3 integrate .. //depot/projects/fadvise/sys/mips/conf/DB120#2 integrate .. //depot/projects/fadvise/sys/mips/conf/DB120.hints#2 integrate .. //depot/projects/fadvise/sys/mips/include/asm.h#5 integrate .. //depot/projects/fadvise/sys/mips/include/pcb.h#4 integrate .. //depot/projects/fadvise/sys/mips/mips/bcopy.S#2 integrate .. //depot/projects/fadvise/sys/mips/mips/exception.S#6 integrate .. //depot/projects/fadvise/sys/mips/mips/fp.S#3 integrate .. //depot/projects/fadvise/sys/mips/mips/support.S#5 integrate .. //depot/projects/fadvise/sys/mips/mips/swtch.S#5 integrate .. //depot/projects/fadvise/sys/modules/Makefile#9 integrate .. //depot/projects/fadvise/sys/modules/cxgb/Makefile#4 integrate .. //depot/projects/fadvise/sys/modules/cxgbe/Makefile#5 integrate .. //depot/projects/fadvise/sys/modules/cxgbe/iw_cxgbe/Makefile#1 branch .. //depot/projects/fadvise/sys/modules/cxgbe/t4_firmware/Makefile#2 integrate .. //depot/projects/fadvise/sys/modules/cxgbe/t5_firmware/Makefile#2 integrate .. //depot/projects/fadvise/sys/modules/dtrace/Makefile#4 integrate .. //depot/projects/fadvise/sys/modules/dtrace/fasttrap/Makefile#3 integrate .. //depot/projects/fadvise/sys/modules/random/Makefile#4 integrate .. //depot/projects/fadvise/sys/modules/rdma/Makefile#3 integrate .. //depot/projects/fadvise/sys/modules/rdma/addr/Makefile#3 delete .. //depot/projects/fadvise/sys/modules/rdma/cma/Makefile#3 delete .. //depot/projects/fadvise/sys/modules/rdma/core/Makefile#3 delete .. //depot/projects/fadvise/sys/modules/rdma/iwcm/Makefile#3 delete .. //depot/projects/fadvise/sys/net/flowtable.c#6 integrate .. //depot/projects/fadvise/sys/net/ieee8023ad_lacp.c#5 integrate .. //depot/projects/fadvise/sys/net/if.c#7 integrate .. //depot/projects/fadvise/sys/net/if_ethersubr.c#6 integrate .. //depot/projects/fadvise/sys/net/if_tun.c#5 integrate .. //depot/projects/fadvise/sys/net/if_var.h#8 integrate .. //depot/projects/fadvise/sys/net/radix.c#3 integrate .. //depot/projects/fadvise/sys/net/radix.h#3 integrate .. //depot/projects/fadvise/sys/net/route.c#7 integrate .. //depot/projects/fadvise/sys/net/rtsock.c#8 integrate .. //depot/projects/fadvise/sys/net80211/ieee80211_freebsd.c#6 integrate .. //depot/projects/fadvise/sys/netatalk/at_control.c#4 integrate .. //depot/projects/fadvise/sys/netgraph/ng_base.c#6 integrate .. //depot/projects/fadvise/sys/netgraph/ng_iface.c#4 integrate .. //depot/projects/fadvise/sys/netinet/in.c#9 integrate .. //depot/projects/fadvise/sys/netinet/in.h#6 integrate .. //depot/projects/fadvise/sys/netinet/in_var.h#6 integrate .. //depot/projects/fadvise/sys/netinet/ip_input.c#6 integrate .. //depot/projects/fadvise/sys/netinet/ip_output.c#5 integrate .. //depot/projects/fadvise/sys/netinet/sctp_constants.h#5 integrate .. //depot/projects/fadvise/sys/netinet/sctp_usrreq.c#7 integrate .. //depot/projects/fadvise/sys/netinet6/in6.c#10 integrate .. //depot/projects/fadvise/sys/netinet6/in6_var.h#5 integrate .. //depot/projects/fadvise/sys/netinet6/ip6_input.c#6 integrate .. //depot/projects/fadvise/sys/netinet6/ip6_output.c#7 integrate .. //depot/projects/fadvise/sys/netipx/ipx.c#4 integrate .. //depot/projects/fadvise/sys/netipx/ipx_if.h#3 integrate .. //depot/projects/fadvise/sys/ofed/drivers/net/mlx4/en_netdev.c#4 integrate .. //depot/projects/fadvise/sys/ofed/include/linux/gfp.h#3 integrate .. //depot/projects/fadvise/sys/powerpc/aim/clock.c#3 delete .. //depot/projects/fadvise/sys/powerpc/aim/interrupt.c#3 integrate .. //depot/projects/fadvise/sys/powerpc/aim/machdep.c#6 integrate .. //depot/projects/fadvise/sys/powerpc/aim/nexus.c#4 delete .. //depot/projects/fadvise/sys/powerpc/aim/trap.c#5 integrate .. //depot/projects/fadvise/sys/powerpc/aim/vm_machdep.c#3 delete .. //depot/projects/fadvise/sys/powerpc/booke/clock.c#3 delete .. //depot/projects/fadvise/sys/powerpc/booke/vm_machdep.c#3 delete .. //depot/projects/fadvise/sys/powerpc/conf/GENERIC64#6 integrate .. //depot/projects/fadvise/sys/powerpc/mpc85xx/nexus.c#5 delete .. //depot/projects/fadvise/sys/powerpc/powermac/ata_kauai.c#3 integrate .. //depot/projects/fadvise/sys/powerpc/powermac/ata_macio.c#3 integrate .. //depot/projects/fadvise/sys/powerpc/powerpc/clock.c#1 branch .. //depot/projects/fadvise/sys/powerpc/powerpc/nexus.c#1 branch .. //depot/projects/fadvise/sys/powerpc/powerpc/vm_machdep.c#1 branch .. //depot/projects/fadvise/sys/powerpc/pseries/phyp-hvcall.S#2 integrate .. //depot/projects/fadvise/sys/powerpc/pseries/phyp_llan.c#1 branch .. //depot/projects/fadvise/sys/sys/_task.h#3 integrate .. //depot/projects/fadvise/sys/sys/counter.h#2 integrate .. //depot/projects/fadvise/sys/sys/devicestat.h#3 integrate .. //depot/projects/fadvise/sys/sys/param.h#8 integrate .. //depot/projects/fadvise/sys/sys/random.h#3 integrate .. //depot/projects/fadvise/sys/sys/systm.h#6 integrate .. //depot/projects/fadvise/sys/sys/taskqueue.h#4 integrate .. //depot/projects/fadvise/sys/ufs/ufs/dinode.h#4 integrate .. //depot/projects/fadvise/sys/vm/vm_phys.c#9 integrate .. //depot/projects/fadvise/sys/xen/xen-os.h#2 integrate Differences ... ==== //depot/projects/fadvise/lib/libc/gen/getutxent.c#5 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/lib/libc/gen/getutxent.c 244092 2012-12-10 17:56:51Z jilles $"); +__FBSDID("$FreeBSD: head/lib/libc/gen/getutxent.c 256537 2013-10-15 13:32:01Z glebius $"); #include "namespace.h" #include @@ -122,9 +122,20 @@ if (udb == UTXDB_LOG) { uint16_t len; +retry: if (fread(&len, sizeof(len), 1, uf) != 1) return (-1); len = be16toh(len); + if (len == 0) { + /* + * XXX: Though zero-size records are valid in theory, + * they can never occur in practice. Zero-size records + * indicate file corruption. Seek one byte forward, to + * see if we can find a record there. + */ + ungetc('\0', uf); + goto retry; + } if (len > sizeof *fu) { /* Forward compatibility. */ if (fread(fu, sizeof(*fu), 1, uf) != 1) ==== //depot/projects/fadvise/sys/amd64/amd64/pmap.c#8 (text+ko) ==== @@ -79,7 +79,7 @@ #define AMD64_NPT_AWARE #include -__FBSDID("$FreeBSD: head/sys/amd64/amd64/pmap.c 256166 2013-10-08 20:04:35Z dim $"); +__FBSDID("$FreeBSD: head/sys/amd64/amd64/pmap.c 256645 2013-10-16 18:20:27Z neel $"); /* * Manages physical address maps. @@ -371,6 +371,8 @@ SYSCTL_INT(_vm_pmap, OID_AUTO, pcid_enabled, CTLFLAG_RDTUN, &pmap_pcid_enabled, 0, "Is TLB Context ID enabled ?"); int invpcid_works = 0; +SYSCTL_INT(_vm_pmap, OID_AUTO, invpcid_works, CTLFLAG_RD, &invpcid_works, 0, + "Is the invpcid instruction available ?"); static int pmap_pcid_save_cnt_proc(SYSCTL_HANDLER_ARGS) ==== //depot/projects/fadvise/sys/amd64/conf/GENERIC#11 (text+ko) ==== @@ -16,7 +16,7 @@ # If you are in doubt as to the purpose or necessity of a line, check first # in NOTES. # -# $FreeBSD: head/sys/amd64/conf/GENERIC 255752 2013-09-21 01:07:27Z gjb $ +# $FreeBSD: head/sys/amd64/conf/GENERIC 256328 2013-10-11 19:40:28Z gjb $ cpu HAMMER ident GENERIC @@ -72,7 +72,6 @@ options KDTRACE_HOOKS # Kernel DTrace hooks options DDB_CTF # Kernel ELF linker loads CTF data options INCLUDE_CONFIG_FILE # Include this file in kernel -options XENHVM # Include Xen support # Debugging support. Always need this: options KDB # Enable kernel debugger support. @@ -345,8 +344,10 @@ # HyperV drivers device hyperv # HyperV drivers -# Xen support -device xenpci # Generic Xen bus +# Xen HVM Guest Optimizations +# NOTE: XENHVM depends on xenpci. They must be added or removed together. +options XENHVM # Xen HVM kernel infrastructure +device xenpci # Xen HVM Hypervisor services driver # VMware support device vmx # VMware VMXNET3 Ethernet ==== //depot/projects/fadvise/sys/amd64/include/vmm.h#2 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/include/vmm.h 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/include/vmm.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMM_H_ @@ -223,6 +223,7 @@ VM_CAP_MTRAP_EXIT, VM_CAP_PAUSE_EXIT, VM_CAP_UNRESTRICTED_GUEST, + VM_CAP_ENABLE_INVPCID, VM_CAP_MAX }; ==== //depot/projects/fadvise/sys/amd64/vmm/intel/vmx.c#2 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256645 2013-10-16 18:20:27Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256072 2013-10-05 21:22:35Z neel $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256645 2013-10-16 18:20:27Z neel $"); #include #include @@ -164,6 +164,7 @@ static int cap_pause_exit; static int cap_unrestricted_guest; static int cap_monitor_trap; +static int cap_invpcid; static struct unrhdr *vpid_unr; static u_int vpid_alloc_failed; @@ -660,6 +661,11 @@ PROCBASED2_UNRESTRICTED_GUEST, 0, &tmp) == 0); + cap_invpcid = (vmx_set_ctlreg(MSR_VMX_PROCBASED_CTLS2, + MSR_VMX_PROCBASED_CTLS2, PROCBASED2_ENABLE_INVPCID, 0, + &tmp) == 0); + + /* Initialize EPT */ error = ept_init(); if (error) { @@ -828,6 +834,7 @@ vmx->cap[i].set = 0; vmx->cap[i].proc_ctls = procbased_ctls; + vmx->cap[i].proc_ctls2 = procbased_ctls2; vmx->state[i].lastcpu = -1; vmx->state[i].vpid = vpid[i]; @@ -1932,6 +1939,10 @@ if (cap_unrestricted_guest) ret = 0; break; + case VM_CAP_ENABLE_INVPCID: + if (cap_invpcid) + ret = 0; + break; default: break; } @@ -1988,11 +1999,21 @@ case VM_CAP_UNRESTRICTED_GUEST: if (cap_unrestricted_guest) { retval = 0; - baseval = procbased_ctls2; + pptr = &vmx->cap[vcpu].proc_ctls2; + baseval = *pptr; flag = PROCBASED2_UNRESTRICTED_GUEST; reg = VMCS_SEC_PROC_BASED_CTLS; } break; + case VM_CAP_ENABLE_INVPCID: + if (cap_invpcid) { + retval = 0; + pptr = &vmx->cap[vcpu].proc_ctls2; + baseval = *pptr; + flag = PROCBASED2_ENABLE_INVPCID; + reg = VMCS_SEC_PROC_BASED_CTLS; + } + break; default: break; } ==== //depot/projects/fadvise/sys/amd64/vmm/intel/vmx.h#2 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx.h 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMX_H_ @@ -84,6 +84,7 @@ struct vmxcap { int set; uint32_t proc_ctls; + uint32_t proc_ctls2; }; struct vmxstate { ==== //depot/projects/fadvise/sys/amd64/vmm/intel/vmx_controls.h#2 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx_controls.h 245678 2013-01-20 03:42:49Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx_controls.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMX_CONTROLS_H_ @@ -68,6 +68,7 @@ #define PROCBASED2_WBINVD_EXITING (1 << 6) #define PROCBASED2_UNRESTRICTED_GUEST (1 << 7) #define PROCBASED2_PAUSE_LOOP_EXITING (1 << 10) +#define PROCBASED2_ENABLE_INVPCID (1 << 12) /* VM Exit Controls */ #define VM_EXIT_SAVE_DEBUG_CONTROLS (1 << 2) ==== //depot/projects/fadvise/sys/amd64/vmm/vmm_dev.c#2 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256570 2013-10-16 00:58:47Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256072 2013-10-05 21:22:35Z neel $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256570 2013-10-16 00:58:47Z neel $"); #include #include @@ -60,7 +60,10 @@ struct vm *vm; /* vm instance cookie */ struct cdev *cdev; SLIST_ENTRY(vmmdev_softc) link; + int flags; }; +#define VSC_LINKED 0x01 + static SLIST_HEAD(, vmmdev_softc) head; static struct mtx vmmdev_mtx; @@ -104,7 +107,6 @@ static char zerobuf[PAGE_SIZE]; error = 0; - mtx_lock(&vmmdev_mtx); sc = vmmdev_lookup2(cdev); if (sc == NULL) error = ENXIO; @@ -134,8 +136,6 @@ vm_gpa_release(cookie); } } - - mtx_unlock(&vmmdev_mtx); return (error); } @@ -379,34 +379,28 @@ int error; struct vmmdev_softc *sc; - mtx_lock(&vmmdev_mtx); - sc = vmmdev_lookup2(cdev); if (sc != NULL && (nprot & PROT_EXEC) == 0) error = vm_get_memobj(sc->vm, *offset, size, offset, object); else error = EINVAL; - mtx_unlock(&vmmdev_mtx); - return (error); } static void -vmmdev_destroy(struct vmmdev_softc *sc, boolean_t unlink) +vmmdev_destroy(void *arg) { - /* - * XXX must stop virtual machine instances that may be still - * running and cleanup their state. - */ - if (sc->cdev) + struct vmmdev_softc *sc = arg; + + if (sc->cdev != NULL) destroy_dev(sc->cdev); - if (sc->vm) + if (sc->vm != NULL) vm_destroy(sc->vm); - if (unlink) { + if ((sc->flags & VSC_LINKED) != 0) { mtx_lock(&vmmdev_mtx); SLIST_REMOVE(&head, sc, vmmdev_softc, link); mtx_unlock(&vmmdev_mtx); @@ -421,27 +415,38 @@ int error; char buf[VM_MAX_NAMELEN]; struct vmmdev_softc *sc; + struct cdev *cdev; strlcpy(buf, "beavis", sizeof(buf)); error = sysctl_handle_string(oidp, buf, sizeof(buf), req); if (error != 0 || req->newptr == NULL) return (error); - /* - * XXX TODO if any process has this device open then fail - */ - mtx_lock(&vmmdev_mtx); sc = vmmdev_lookup(buf); - if (sc == NULL) { + if (sc == NULL || sc->cdev == NULL) { mtx_unlock(&vmmdev_mtx); return (EINVAL); } - sc->cdev->si_drv1 = NULL; + /* + * The 'cdev' will be destroyed asynchronously when 'si_threadcount' + * goes down to 0 so we should not do it again in the callback. + */ + cdev = sc->cdev; + sc->cdev = NULL; mtx_unlock(&vmmdev_mtx); - vmmdev_destroy(sc, TRUE); + /* + * Schedule the 'cdev' to be destroyed: + * + * - any new operations on this 'cdev' will return an error (ENXIO). + * + * - when the 'si_threadcount' dwindles down to zero the 'cdev' will + * be destroyed and the callback will be invoked in a taskqueue + * context. + */ + destroy_dev_sched_cb(cdev, vmmdev_destroy, sc); return (0); } @@ -462,6 +467,7 @@ { int error; struct vm *vm; + struct cdev *cdev; struct vmmdev_softc *sc, *sc2; char buf[VM_MAX_NAMELEN]; @@ -489,22 +495,28 @@ */ mtx_lock(&vmmdev_mtx); sc2 = vmmdev_lookup(buf); - if (sc2 == NULL) + if (sc2 == NULL) { SLIST_INSERT_HEAD(&head, sc, link); + sc->flags |= VSC_LINKED; + } mtx_unlock(&vmmdev_mtx); if (sc2 != NULL) { - vmmdev_destroy(sc, FALSE); + vmmdev_destroy(sc); return (EEXIST); } - error = make_dev_p(MAKEDEV_CHECKNAME, &sc->cdev, &vmmdevsw, NULL, + error = make_dev_p(MAKEDEV_CHECKNAME, &cdev, &vmmdevsw, NULL, UID_ROOT, GID_WHEEL, 0600, "vmm/%s", buf); if (error != 0) { - vmmdev_destroy(sc, TRUE); + vmmdev_destroy(sc); return (error); } + + mtx_lock(&vmmdev_mtx); + sc->cdev = cdev; sc->cdev->si_drv1 = sc; + mtx_unlock(&vmmdev_mtx); return (0); } ==== //depot/projects/fadvise/sys/amd64/vmm/x86.c#2 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/x86.c 255645 2013-09-17 17:56:53Z grehan $ + * $FreeBSD: head/sys/amd64/vmm/x86.c 256645 2013-10-16 18:20:27Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/x86.c 255645 2013-09-17 17:56:53Z grehan $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/x86.c 256645 2013-10-16 18:20:27Z neel $"); #include #include @@ -53,7 +53,7 @@ x86_emulate_cpuid(struct vm *vm, int vcpu_id, uint32_t *eax, uint32_t *ebx, uint32_t *ecx, uint32_t *edx) { - int error; + int error, enable_invpcid; unsigned int func, regs[4]; enum x2apic_state x2apic_state; @@ -202,8 +202,22 @@ regs[0] |= 0x04008000; break; + case CPUID_0000_0007: + regs[0] = 0; + regs[1] = 0; + regs[2] = 0; + regs[3] = 0; + + /* leaf 0 */ + if (*ecx == 0) { + error = vm_get_capability(vm, vcpu_id, + VM_CAP_ENABLE_INVPCID, &enable_invpcid); + if (error == 0 && enable_invpcid) + regs[1] |= CPUID_STDEXT_INVPCID; + } + break; + case CPUID_0000_0006: - case CPUID_0000_0007: case CPUID_0000_000A: case CPUID_0000_000D: /* ==== //depot/projects/fadvise/sys/arm/arm/busdma_machdep-v6.c#2 (text+ko) ==== @@ -31,7 +31,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/busdma_machdep-v6.c 254229 2013-08-11 21:21:02Z cognet $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/busdma_machdep-v6.c 256638 2013-10-16 16:35:25Z ian $"); #define _ARM32_BUS_DMA_PRIVATE #include @@ -425,14 +425,21 @@ if (_bus_dma_can_bounce(newtag->lowaddr, newtag->highaddr) || newtag->alignment > 1) newtag->flags |= BUS_DMA_COULD_BOUNCE; - else - maxsize = 2; /* Need at most 2 bounce pages for unaligned access on cache line boundaries */ + /* + * Any request can auto-bounce due to cacheline alignment, in addition + * to any alignment or boundary specifications in the tag, so if the + * ALLOCNOW flag is set, there's always work to do. + */ if ((flags & BUS_DMA_ALLOCNOW) != 0) { struct bounce_zone *bz; - - /* Must bounce */ - + /* + * Round size up to a full page, and add one more page because + * there can always be one more boundary crossing than the + * number of pages in a transfer. + */ + maxsize = roundup2(maxsize, PAGE_SIZE) + PAGE_SIZE; + if ((error = alloc_bounce_zone(newtag)) != 0) { free(newtag, M_DEVBUF); return (error); @@ -518,20 +525,22 @@ STAILQ_INIT(&(mapp->bpages)); /* - * Attempt to add pages to our pool on a per-instance - * basis up to a sane limit. + * Attempt to add pages to our pool on a per-instance basis up to a sane + * limit. Even if the tag isn't flagged as COULD_BOUNCE due to + * alignment and boundary constraints, it could still auto-bounce due to + * cacheline alignment, which requires at most two bounce pages. */ if (dmat->flags & BUS_DMA_COULD_BOUNCE) maxpages = MAX_BPAGES; else - maxpages = 2 * bz->map_count; /* Only need at most 2 pages for buffers unaligned on cache line boundaries */ + maxpages = 2 * bz->map_count; if ((dmat->flags & BUS_DMA_MIN_ALLOC_COMP) == 0 || (bz->map_count > 0 && bz->total_bpages < maxpages)) { int pages; - pages = MAX(atop(dmat->maxsize), 1); + pages = atop(roundup2(dmat->maxsize, PAGE_SIZE)) + 1; pages = MIN(maxpages - bz->total_bpages, pages); - pages = MAX(pages, 1); + pages = MAX(pages, 2); if (alloc_bounce_pages(dmat, pages) < pages) return (ENOMEM); @@ -1273,7 +1282,12 @@ } break; + case BUS_DMASYNC_POSTREAD: + case BUS_DMASYNC_POSTWRITE: + case BUS_DMASYNC_POSTREAD | BUS_DMASYNC_POSTWRITE: + break; default: + panic("unsupported combination of sync operations: 0x%08x\n", op); break; } } ==== //depot/projects/fadvise/sys/arm/arm/cpufunc.c#4 (text+ko) ==== @@ -45,7 +45,7 @@ * Created : 30/01/97 */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc.c 253857 2013-08-01 10:06:19Z ganbold $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc.c 256629 2013-10-16 15:20:27Z br $"); #include #include @@ -1476,7 +1476,8 @@ } #endif /* CPU_ARM1136 || CPU_ARM1176 */ #ifdef CPU_CORTEXA - if (cputype == CPU_ID_CORTEXA7 || + if (cputype == CPU_ID_CORTEXA5 || + cputype == CPU_ID_CORTEXA7 || cputype == CPU_ID_CORTEXA8R1 || cputype == CPU_ID_CORTEXA8R2 || cputype == CPU_ID_CORTEXA8R3 || ==== //depot/projects/fadvise/sys/arm/arm/cpufunc_asm_armv7.S#2 (text+ko) ==== @@ -30,7 +30,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc_asm_armv7.S 248361 2013-03-16 02:48:49Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc_asm_armv7.S 256707 2013-10-17 21:06:19Z cognet $"); .cpu cortex-a8 @@ -57,9 +57,9 @@ #define PT_OUTER_WBWA (1 << 3) #ifdef SMP -#define PT_ATTR (PT_S|PT_INNER_WT|PT_OUTER_WT|PT_NOS) +#define PT_ATTR (PT_S|PT_INNER_WBWA|PT_OUTER_WBWA|PT_NOS) #else -#define PT_ATTR (PT_INNER_WT|PT_OUTER_WT) +#define PT_ATTR (PT_INNER_WBWA|PT_OUTER_WBWA) #endif ENTRY(armv7_setttb) @@ -98,7 +98,7 @@ ldr r1, .Lpage_mask bic r0, r0, r1 #ifdef SMP - mcr p15, 0, r0, c8, c3, 1 /* flush D tlb single entry Inner Shareable*/ + mcr p15, 0, r0, c8, c3, 3 /* flush D tlb single entry Inner Shareable*/ mcr p15, 0, r0, c7, c1, 6 /* flush BTB Inner Shareable */ #else mcr p15, 0, r0, c8, c7, 1 /* flush D tlb single entry */ ==== //depot/projects/fadvise/sys/arm/arm/fusu.S#3 (text+ko) ==== @@ -37,7 +37,7 @@ #include #include #include "assym.s" -__FBSDID("$FreeBSD: head/sys/arm/arm/fusu.S 248361 2013-03-16 02:48:49Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/fusu.S 256748 2013-10-18 17:21:47Z cognet $"); #ifdef _ARM_ARCH_6 #define GET_PCB(tmp) \ @@ -67,11 +67,27 @@ stmfd sp!, {r4, r5} adr r4, .Lcasuwordfault str r4, [r3, #PCB_ONFAULT] +#ifdef _ARM_ARCH_6 +1: + cmp r0, #KERNBASE + mvnhs r0, #0 + bhs 2f + + ldrex r5, [r0] + cmp r5, r1 + movne r0, r5 + bne 2f + strex r5, r2, [r0] + cmp r5, #0 + bne 1b +#else ldrt r5, [r0] cmp r5, r1 movne r0, r5 streqt r2, [r0] +#endif moveq r0, r1 +2: ldmfd sp!, {r4, r5} mov r1, #0x00000000 str r1, [r3, #PCB_ONFAULT] ==== //depot/projects/fadvise/sys/arm/arm/identcpu.c#4 (text+ko) ==== @@ -42,7 +42,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/identcpu.c 253857 2013-08-01 10:06:19Z ganbold $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/identcpu.c 256629 2013-10-16 15:20:27Z br $"); #include #include #include @@ -236,6 +236,8 @@ { CPU_ID_ARM1026EJS, CPU_CLASS_ARM10EJ, "ARM1026EJ-S", generic_steppings }, + { CPU_ID_CORTEXA5, CPU_CLASS_CORTEXA, "Cortex A5", + generic_steppings }, { CPU_ID_CORTEXA7, CPU_CLASS_CORTEXA, "Cortex A7", generic_steppings }, { CPU_ID_CORTEXA8R1, CPU_CLASS_CORTEXA, "Cortex A8-r1", ==== //depot/projects/fadvise/sys/arm/arm/locore.S#4 (text+ko) ==== @@ -39,7 +39,7 @@ #include #include -__FBSDID("$FreeBSD: head/sys/arm/arm/locore.S 250928 2013-05-23 12:07:41Z gber $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/locore.S 256628 2013-10-16 14:24:22Z ian $"); /* What size should this really be ? It is only used by initarm() */ #define INIT_ARM_STACK_SIZE (2048 * 4) @@ -187,7 +187,7 @@ mrc p15, 0, r0, c1, c0, 0 #ifdef _ARM_ARCH_6 orr r0, r0, #(CPU_CONTROL_V6_EXTPAGE | CPU_CONTROL_UNAL_ENABLE) - orr r2, r2, #(CPU_CONTROL_AFLT_ENABLE) + orr r0, r0, #(CPU_CONTROL_AFLT_ENABLE) orr r0, r0, #(CPU_CONTROL_AF_ENABLE) #endif orr r0, r0, #(CPU_CONTROL_MMU_ENABLE) ==== //depot/projects/fadvise/sys/arm/arm/machdep.c#7 (text+ko) ==== >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Sun Oct 20 20:52:24 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 9C12AAC1; Sun, 20 Oct 2013 20:52:24 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 5BF7BABF for ; Sun, 20 Oct 2013 20:52:24 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 46C972EF1 for ; Sun, 20 Oct 2013 20:52:24 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9KKqOAd034236 for ; Sun, 20 Oct 2013 20:52:24 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9KKqNYn034227 for perforce@freebsd.org; Sun, 20 Oct 2013 20:52:23 GMT (envelope-from jhb@freebsd.org) Date: Sun, 20 Oct 2013 20:52:23 GMT Message-Id: <201310202052.r9KKqNYn034227@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1162565 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 20 Oct 2013 20:52:24 -0000 http://p4web.freebsd.org/@@1162565?ac=10 Change 1162565 by jhb@jhb_pippin on 2013/10/20 20:52:06 IFC @1162516 Affected files ... .. //depot/projects/smpng/share/man/man9/rtentry.9#4 integrate .. //depot/projects/smpng/sys/amd64/amd64/pmap.c#133 integrate .. //depot/projects/smpng/sys/amd64/conf/GENERIC#109 integrate .. //depot/projects/smpng/sys/amd64/include/vmm.h#5 integrate .. //depot/projects/smpng/sys/amd64/vmm/intel/vmx.c#7 integrate .. //depot/projects/smpng/sys/amd64/vmm/intel/vmx.h#4 integrate .. //depot/projects/smpng/sys/amd64/vmm/intel/vmx_controls.h#3 integrate .. //depot/projects/smpng/sys/amd64/vmm/vmm_dev.c#6 integrate .. //depot/projects/smpng/sys/amd64/vmm/x86.c#7 integrate .. //depot/projects/smpng/sys/arm/arm/busdma_machdep-v6.c#6 integrate .. //depot/projects/smpng/sys/arm/arm/cpufunc.c#30 integrate .. //depot/projects/smpng/sys/arm/arm/cpufunc_asm_armv7.S#4 integrate .. //depot/projects/smpng/sys/arm/arm/fusu.S#13 integrate .. //depot/projects/smpng/sys/arm/arm/identcpu.c#25 integrate .. //depot/projects/smpng/sys/arm/arm/locore.S#27 integrate .. //depot/projects/smpng/sys/arm/arm/machdep.c#46 integrate .. //depot/projects/smpng/sys/arm/arm/pl310.c#4 integrate .. //depot/projects/smpng/sys/arm/arm/pmap-v6.c#9 integrate .. //depot/projects/smpng/sys/arm/freescale/imx/imx51_ccm.c#4 integrate .. //depot/projects/smpng/sys/arm/include/armreg.h#18 integrate .. //depot/projects/smpng/sys/arm/include/pmap.h#44 integrate .. //depot/projects/smpng/sys/arm/mv/common.c#17 integrate .. //depot/projects/smpng/sys/arm/mv/mv_localbus.c#3 integrate .. //depot/projects/smpng/sys/arm/mv/mvreg.h#14 integrate .. //depot/projects/smpng/sys/arm/versatile/versatile_pci.c#3 integrate .. //depot/projects/smpng/sys/arm/xscale/i80321/ep80219_machdep.c#18 integrate .. //depot/projects/smpng/sys/arm/xscale/i80321/iq31244_machdep.c#37 integrate .. //depot/projects/smpng/sys/arm/xscale/i8134x/crb_machdep.c#16 integrate .. //depot/projects/smpng/sys/arm/xscale/ixp425/avila_machdep.c#22 integrate .. //depot/projects/smpng/sys/arm/xscale/pxa/pxa_machdep.c#11 integrate .. //depot/projects/smpng/sys/boot/forth/loader.conf#80 integrate .. //depot/projects/smpng/sys/boot/i386/btx/btx/btx.S#14 integrate .. //depot/projects/smpng/sys/cam/ata/ata_all.c#12 integrate .. //depot/projects/smpng/sys/cam/ata/ata_all.h#9 integrate .. //depot/projects/smpng/sys/cam/ata/ata_da.c#25 integrate .. //depot/projects/smpng/sys/cam/ata/ata_pmp.c#13 integrate .. //depot/projects/smpng/sys/cam/cam_periph.c#56 integrate .. //depot/projects/smpng/sys/cam/cam_periph.h#16 integrate .. //depot/projects/smpng/sys/cam/cam_xpt.c#90 integrate .. //depot/projects/smpng/sys/cam/cam_xpt_periph.h#11 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_all.c#47 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_all.h#31 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_cd.c#59 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_ch.c#32 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_da.c#113 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_enc.c#7 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_pass.c#36 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_pt.c#24 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_sa.c#47 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_sg.c#17 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c#20 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/sys/dtrace.h#8 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/powerpc/dtrace/fasttrap_isa.c#2 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/powerpc/sys/fasttrap_isa.h#2 integrate .. //depot/projects/smpng/sys/cddl/dev/dtrace/dtrace_sysctl.c#3 integrate .. //depot/projects/smpng/sys/conf/NOTES#206 integrate .. //depot/projects/smpng/sys/conf/files#291 integrate .. //depot/projects/smpng/sys/conf/files.amd64#99 integrate .. //depot/projects/smpng/sys/conf/files.i386#157 integrate .. //depot/projects/smpng/sys/conf/files.powerpc#81 integrate .. //depot/projects/smpng/sys/conf/kmod.mk#91 integrate .. //depot/projects/smpng/sys/conf/newvers.sh#41 integrate .. //depot/projects/smpng/sys/conf/options#204 integrate .. //depot/projects/smpng/sys/contrib/dev/run/rt2870.fw.uu#4 integrate .. //depot/projects/smpng/sys/contrib/rdma/core_priv.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_addr.h#4 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_cache.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_cm.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_fmr_pool.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_mad.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_marshall.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_pack.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_sa.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_smi.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_umem.h#4 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_user_cm.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_user_mad.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_user_sa.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_user_verbs.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/ib_verbs.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/iw_cm.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/krping/getopt.c#3 integrate .. //depot/projects/smpng/sys/contrib/rdma/krping/krping.c#6 integrate .. //depot/projects/smpng/sys/contrib/rdma/krping/krping.h#5 integrate .. //depot/projects/smpng/sys/contrib/rdma/krping/krping_dev.c#5 integrate .. //depot/projects/smpng/sys/contrib/rdma/rdma_addr.c#7 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_cache.c#4 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_cm.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_cm_ib.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_cma.c#11 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_device.c#4 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_iwcm.c#4 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_user_cm.h#3 delete .. //depot/projects/smpng/sys/contrib/rdma/rdma_verbs.c#3 delete .. //depot/projects/smpng/sys/contrib/rdma/types.h#3 delete .. //depot/projects/smpng/sys/dev/altera/atse/a_api.h#1 branch .. //depot/projects/smpng/sys/dev/altera/atse/if_atse.c#1 branch .. //depot/projects/smpng/sys/dev/altera/atse/if_atse_fdt.c#1 branch .. //depot/projects/smpng/sys/dev/altera/atse/if_atse_nexus.c#1 branch .. //depot/projects/smpng/sys/dev/altera/atse/if_atsereg.h#1 branch .. //depot/projects/smpng/sys/dev/altera/sdcard/altera_sdcard.c#3 integrate .. //depot/projects/smpng/sys/dev/altera/sdcard/altera_sdcard_io.c#4 integrate .. //depot/projects/smpng/sys/dev/ata/ata-all.c#119 integrate .. //depot/projects/smpng/sys/dev/ata/ata-card.c#37 integrate .. //depot/projects/smpng/sys/dev/ath/if_ath.c#109 integrate .. //depot/projects/smpng/sys/dev/ath/if_ath_tx.c#14 integrate .. //depot/projects/smpng/sys/dev/bxe/bxe.c#2 integrate .. //depot/projects/smpng/sys/dev/bxe/bxe_stats.c#2 integrate .. //depot/projects/smpng/sys/dev/bxe/ecore_sp.h#2 integrate .. //depot/projects/smpng/sys/dev/cfi/cfi_core.c#11 integrate .. //depot/projects/smpng/sys/dev/cxgb/ulp/iw_cxgb/iw_cxgb.c#15 integrate .. //depot/projects/smpng/sys/dev/cxgbe/adapter.h#13 integrate .. //depot/projects/smpng/sys/dev/cxgbe/common/common.h#10 integrate .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t4fw-1.8.11.0.bin.uu#2 delete .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t4fw-1.9.12.0.bin.uu#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t4fw_cfg.txt#6 integrate .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt#5 integrate .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t4fw_interface.h#8 integrate .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t5fw-1.8.22.0.bin.uu#2 delete .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t5fw-1.9.12.0.bin.uu#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t5fw_cfg.txt#2 integrate .. //depot/projects/smpng/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt#2 integrate .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/cm.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/cq.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/device.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/ev.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/id_table.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/mem.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/provider.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/qp.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/resource.c#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/t4.h#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/iw_cxgbe/user.h#1 branch .. //depot/projects/smpng/sys/dev/cxgbe/t4_main.c#18 integrate .. //depot/projects/smpng/sys/dev/cxgbe/t4_sge.c#15 integrate .. //depot/projects/smpng/sys/dev/cxgbe/tom/t4_cpl_io.c#9 integrate .. //depot/projects/smpng/sys/dev/drm2/radeon/radeon_drv.c#2 integrate .. //depot/projects/smpng/sys/dev/etherswitch/arswitch/arswitch.c#7 integrate .. //depot/projects/smpng/sys/dev/etherswitch/arswitch/arswitch_9340.c#1 branch .. //depot/projects/smpng/sys/dev/etherswitch/arswitch/arswitch_9340.h#1 branch .. //depot/projects/smpng/sys/dev/etherswitch/arswitch/arswitch_vlans.c#2 integrate .. //depot/projects/smpng/sys/dev/etherswitch/arswitch/arswitchreg.h#4 integrate .. //depot/projects/smpng/sys/dev/etherswitch/arswitch/arswitchvar.h#5 integrate .. //depot/projects/smpng/sys/dev/fdt/fdtbus.c#11 integrate .. //depot/projects/smpng/sys/dev/glxsb/glxsb.c#6 integrate .. //depot/projects/smpng/sys/dev/hifn/hifn7751.c#34 integrate .. //depot/projects/smpng/sys/dev/hyperv/include/hyperv.h#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/netvsc/hv_net_vsc.h#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/netvsc/hv_netvsc_drv_freebsd.c#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/stordisengage/hv_ata_pci_disengage.c#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/storvsc/hv_storvsc_drv_freebsd.c#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/storvsc/hv_vstorage.h#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/vmbus/hv_channel.c#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/vmbus/hv_hv.c#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/vmbus/hv_vmbus_drv_freebsd.c#2 integrate .. //depot/projects/smpng/sys/dev/hyperv/vmbus/hv_vmbus_priv.h#2 integrate .. //depot/projects/smpng/sys/dev/isp/isp.c#75 integrate .. //depot/projects/smpng/sys/dev/isp/isp_freebsd.c#81 integrate .. //depot/projects/smpng/sys/dev/isp/isp_freebsd.h#57 integrate .. //depot/projects/smpng/sys/dev/isp/ispvar.h#50 integrate .. //depot/projects/smpng/sys/dev/random/dummy_rng.c#1 branch .. //depot/projects/smpng/sys/dev/random/harvest.c#15 integrate .. //depot/projects/smpng/sys/dev/random/hash.h#7 integrate .. //depot/projects/smpng/sys/dev/random/ivy.c#5 integrate .. //depot/projects/smpng/sys/dev/random/live_entropy_sources.c#1 branch .. //depot/projects/smpng/sys/dev/random/live_entropy_sources.h#1 branch .. //depot/projects/smpng/sys/dev/random/nehemiah.c#11 integrate .. //depot/projects/smpng/sys/dev/random/pseudo_rng.c#2 delete .. //depot/projects/smpng/sys/dev/random/random_adaptors.c#4 integrate .. //depot/projects/smpng/sys/dev/random/random_adaptors.h#3 integrate .. //depot/projects/smpng/sys/dev/random/random_harvestq.c#2 integrate .. //depot/projects/smpng/sys/dev/random/random_harvestq.h#2 integrate .. //depot/projects/smpng/sys/dev/random/randomdev.c#36 integrate .. //depot/projects/smpng/sys/dev/random/randomdev.h#10 integrate .. //depot/projects/smpng/sys/dev/random/randomdev_soft.c#22 integrate .. //depot/projects/smpng/sys/dev/random/randomdev_soft.h#6 integrate .. //depot/projects/smpng/sys/dev/random/rwfile.c#1 branch .. //depot/projects/smpng/sys/dev/random/rwfile.h#1 branch .. //depot/projects/smpng/sys/dev/random/yarrow.c#18 integrate .. //depot/projects/smpng/sys/dev/random/yarrow.h#6 integrate .. //depot/projects/smpng/sys/dev/rndtest/rndtest.c#10 integrate .. //depot/projects/smpng/sys/dev/safe/safe.c#21 integrate .. //depot/projects/smpng/sys/dev/syscons/scmouse.c#20 integrate .. //depot/projects/smpng/sys/dev/syscons/syscons.c#90 integrate .. //depot/projects/smpng/sys/dev/ubsec/ubsec.c#34 integrate .. //depot/projects/smpng/sys/dev/usb/controller/musb_otg.c#26 integrate .. //depot/projects/smpng/sys/dev/usb/controller/musb_otg.h#9 integrate .. //depot/projects/smpng/sys/dev/usb/controller/xhci.c#15 integrate .. //depot/projects/smpng/sys/dev/usb/serial/uslcom.c#20 integrate .. //depot/projects/smpng/sys/dev/usb/usbdevs#184 integrate .. //depot/projects/smpng/sys/dev/usb/wlan/if_run.c#21 integrate .. //depot/projects/smpng/sys/dev/usb/wlan/if_runreg.h#6 integrate .. //depot/projects/smpng/sys/dev/vmware/vmxnet3/if_vmx.c#2 integrate .. //depot/projects/smpng/sys/dev/vmware/vmxnet3/if_vmxvar.h#3 integrate .. //depot/projects/smpng/sys/dev/xen/blkfront/blkfront.c#21 integrate .. //depot/projects/smpng/sys/fs/devfs/devfs_vnops.c#103 integrate .. //depot/projects/smpng/sys/geom/geom_dev.c#65 integrate .. //depot/projects/smpng/sys/geom/geom_disk.c#73 integrate .. //depot/projects/smpng/sys/geom/geom_io.c#62 integrate .. //depot/projects/smpng/sys/geom/geom_slice.c#43 integrate .. //depot/projects/smpng/sys/geom/label/g_label.c#26 integrate .. //depot/projects/smpng/sys/geom/part/g_part.c#46 integrate .. //depot/projects/smpng/sys/geom/part/g_part_apm.c#16 integrate .. //depot/projects/smpng/sys/geom/part/g_part_bsd.c#23 integrate .. //depot/projects/smpng/sys/geom/part/g_part_ebr.c#19 integrate .. //depot/projects/smpng/sys/geom/part/g_part_gpt.c#28 integrate .. //depot/projects/smpng/sys/geom/part/g_part_mbr.c#22 integrate .. //depot/projects/smpng/sys/geom/part/g_part_pc98.c#19 integrate .. //depot/projects/smpng/sys/geom/part/g_part_vtoc8.c#14 integrate .. //depot/projects/smpng/sys/geom/raid/g_raid.c#9 integrate .. //depot/projects/smpng/sys/geom/raid/g_raid.h#6 integrate .. //depot/projects/smpng/sys/geom/raid/tr_concat.c#4 integrate .. //depot/projects/smpng/sys/geom/raid/tr_raid0.c#4 integrate .. //depot/projects/smpng/sys/geom/raid/tr_raid1.c#6 integrate .. //depot/projects/smpng/sys/geom/raid/tr_raid1e.c#7 integrate .. //depot/projects/smpng/sys/geom/raid/tr_raid5.c#5 integrate .. //depot/projects/smpng/sys/i386/conf/GENERIC#138 integrate .. //depot/projects/smpng/sys/kern/kern_exec.c#149 integrate .. //depot/projects/smpng/sys/kern/kern_intr.c#117 integrate .. //depot/projects/smpng/sys/kern/kern_mbuf.c#42 integrate .. //depot/projects/smpng/sys/kern/makesyscalls.sh#39 integrate .. //depot/projects/smpng/sys/kern/subr_bus.c#107 integrate .. //depot/projects/smpng/sys/kern/subr_devstat.c#26 integrate .. //depot/projects/smpng/sys/kern/subr_taskqueue.c#57 integrate .. //depot/projects/smpng/sys/kern/sys_generic.c#77 integrate .. //depot/projects/smpng/sys/kern/vfs_bio.c#151 integrate .. //depot/projects/smpng/sys/mips/atheros/ar71xx_chip.c#9 integrate .. //depot/projects/smpng/sys/mips/atheros/ar71xx_cpudef.h#9 integrate .. //depot/projects/smpng/sys/mips/atheros/ar71xx_machdep.c#10 integrate .. //depot/projects/smpng/sys/mips/atheros/ar71xx_spi.c#4 integrate .. //depot/projects/smpng/sys/mips/atheros/ar724x_chip.c#9 integrate .. //depot/projects/smpng/sys/mips/atheros/ar91xx_chip.c#9 integrate .. //depot/projects/smpng/sys/mips/atheros/ar933x_chip.c#4 integrate .. //depot/projects/smpng/sys/mips/atheros/ar933xreg.h#2 integrate .. //depot/projects/smpng/sys/mips/atheros/ar934x_chip.c#2 integrate .. //depot/projects/smpng/sys/mips/atheros/ar934xreg.h#3 integrate .. //depot/projects/smpng/sys/mips/atheros/if_arge.c#14 integrate .. //depot/projects/smpng/sys/mips/atheros/if_argevar.h#7 integrate .. //depot/projects/smpng/sys/mips/beri/beri_machdep.c#4 integrate .. //depot/projects/smpng/sys/mips/beri/files.beri#3 integrate .. //depot/projects/smpng/sys/mips/cavium/octeon_rnd.c#4 integrate .. //depot/projects/smpng/sys/mips/conf/DB120#2 integrate .. //depot/projects/smpng/sys/mips/conf/DB120.hints#2 integrate .. //depot/projects/smpng/sys/mips/include/asm.h#11 integrate .. //depot/projects/smpng/sys/mips/include/pcb.h#9 integrate .. //depot/projects/smpng/sys/mips/mips/bcopy.S#2 integrate .. //depot/projects/smpng/sys/mips/mips/exception.S#15 integrate .. //depot/projects/smpng/sys/mips/mips/fp.S#6 integrate .. //depot/projects/smpng/sys/mips/mips/support.S#12 integrate .. //depot/projects/smpng/sys/mips/mips/swtch.S#12 integrate .. //depot/projects/smpng/sys/modules/Makefile#200 integrate .. //depot/projects/smpng/sys/modules/cxgb/Makefile#11 integrate .. //depot/projects/smpng/sys/modules/cxgbe/Makefile#8 integrate .. //depot/projects/smpng/sys/modules/cxgbe/iw_cxgbe/Makefile#1 branch .. //depot/projects/smpng/sys/modules/cxgbe/t4_firmware/Makefile#3 integrate .. //depot/projects/smpng/sys/modules/cxgbe/t5_firmware/Makefile#2 integrate .. //depot/projects/smpng/sys/modules/dtrace/Makefile#12 integrate .. //depot/projects/smpng/sys/modules/dtrace/fasttrap/Makefile#5 integrate .. //depot/projects/smpng/sys/modules/random/Makefile#10 integrate .. //depot/projects/smpng/sys/modules/rdma/Makefile#4 integrate .. //depot/projects/smpng/sys/modules/rdma/addr/Makefile#3 delete .. //depot/projects/smpng/sys/modules/rdma/cma/Makefile#3 delete .. //depot/projects/smpng/sys/modules/rdma/core/Makefile#3 delete .. //depot/projects/smpng/sys/modules/rdma/iwcm/Makefile#3 delete .. //depot/projects/smpng/sys/net/flowtable.c#23 integrate .. //depot/projects/smpng/sys/net/ieee8023ad_lacp.c#18 integrate .. //depot/projects/smpng/sys/net/if.c#153 integrate .. //depot/projects/smpng/sys/net/if_ethersubr.c#123 integrate .. //depot/projects/smpng/sys/net/if_tun.c#82 integrate .. //depot/projects/smpng/sys/net/if_var.h#88 integrate .. //depot/projects/smpng/sys/net/radix.c#21 integrate .. //depot/projects/smpng/sys/net/radix.h#16 integrate .. //depot/projects/smpng/sys/net/route.c#68 integrate .. //depot/projects/smpng/sys/net/rtsock.c#94 integrate .. //depot/projects/smpng/sys/net80211/ieee80211_freebsd.c#36 integrate .. //depot/projects/smpng/sys/netatalk/at_control.c#23 integrate .. //depot/projects/smpng/sys/netgraph/ng_base.c#80 integrate .. //depot/projects/smpng/sys/netgraph/ng_iface.c#50 integrate .. //depot/projects/smpng/sys/netinet/in.c#85 integrate .. //depot/projects/smpng/sys/netinet/in.h#60 integrate .. //depot/projects/smpng/sys/netinet/in_var.h#38 integrate .. //depot/projects/smpng/sys/netinet/ip_input.c#130 integrate .. //depot/projects/smpng/sys/netinet/ip_output.c#133 integrate .. //depot/projects/smpng/sys/netinet/sctp_constants.h#37 integrate .. //depot/projects/smpng/sys/netinet/sctp_usrreq.c#51 integrate .. //depot/projects/smpng/sys/netinet6/in6.c#89 integrate .. //depot/projects/smpng/sys/netinet6/in6_var.h#32 integrate .. //depot/projects/smpng/sys/netinet6/ip6_input.c#88 integrate .. //depot/projects/smpng/sys/netinet6/ip6_output.c#87 integrate .. //depot/projects/smpng/sys/netipx/ipx.c#20 integrate .. //depot/projects/smpng/sys/netipx/ipx_if.h#12 integrate .. //depot/projects/smpng/sys/ofed/drivers/net/mlx4/en_netdev.c#6 integrate .. //depot/projects/smpng/sys/ofed/include/linux/gfp.h#5 integrate .. //depot/projects/smpng/sys/powerpc/aim/clock.c#12 delete .. //depot/projects/smpng/sys/powerpc/aim/interrupt.c#8 integrate .. //depot/projects/smpng/sys/powerpc/aim/machdep.c#37 integrate .. //depot/projects/smpng/sys/powerpc/aim/nexus.c#13 delete .. //depot/projects/smpng/sys/powerpc/aim/trap.c#24 integrate .. //depot/projects/smpng/sys/powerpc/aim/vm_machdep.c#17 delete .. //depot/projects/smpng/sys/powerpc/booke/clock.c#12 delete .. //depot/projects/smpng/sys/powerpc/booke/vm_machdep.c#13 delete .. //depot/projects/smpng/sys/powerpc/conf/GENERIC64#18 integrate .. //depot/projects/smpng/sys/powerpc/mpc85xx/nexus.c#8 delete .. //depot/projects/smpng/sys/powerpc/powermac/ata_kauai.c#20 integrate .. //depot/projects/smpng/sys/powerpc/powermac/ata_macio.c#21 integrate .. //depot/projects/smpng/sys/powerpc/powerpc/clock.c#21 branch .. //depot/projects/smpng/sys/powerpc/powerpc/nexus.c#14 branch .. //depot/projects/smpng/sys/powerpc/powerpc/vm_machdep.c#49 branch .. //depot/projects/smpng/sys/powerpc/pseries/phyp-hvcall.S#2 integrate .. //depot/projects/smpng/sys/powerpc/pseries/phyp_llan.c#1 branch .. //depot/projects/smpng/sys/sys/_task.h#12 integrate .. //depot/projects/smpng/sys/sys/counter.h#3 integrate .. //depot/projects/smpng/sys/sys/devicestat.h#10 integrate .. //depot/projects/smpng/sys/sys/param.h#186 integrate .. //depot/projects/smpng/sys/sys/random.h#7 integrate .. //depot/projects/smpng/sys/sys/systm.h#114 integrate .. //depot/projects/smpng/sys/sys/taskqueue.h#25 integrate .. //depot/projects/smpng/sys/ufs/ffs/ffs_extern.h#35 integrate .. //depot/projects/smpng/sys/ufs/ffs/ffs_softdep.c#100 integrate .. //depot/projects/smpng/sys/ufs/ufs/dinode.h#15 integrate .. //depot/projects/smpng/sys/vm/vm_phys.c#21 integrate .. //depot/projects/smpng/sys/xen/xen-os.h#2 integrate Differences ... ==== //depot/projects/smpng/share/man/man9/rtentry.9#4 (text+ko) ==== @@ -26,9 +26,9 @@ .\" OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF .\" SUCH DAMAGE. .\" -.\" $FreeBSD: head/share/man/man9/rtentry.9 206622 2010-04-14 19:08:06Z uqs $ +.\" $FreeBSD: head/share/man/man9/rtentry.9 256618 2013-10-16 10:36:42Z melifaro $ .\" -.Dd December 11, 2008 +.Dd October 16, 2013 .Dt RTENTRY 9 .Os .Sh NAME @@ -154,8 +154,7 @@ .It Dv RTF_PRCLONING This flag is obsolete and simply ignored by facility. .It Dv RTF_PINNED -(Reserved for future use to indicate routes which are not to be -modified by a routing protocol.) +Indicates that this route is immutable to a routing protocol. .It Dv RTF_LOCAL Indicates that the destination of this route is an address configured as belonging to this system. ==== //depot/projects/smpng/sys/amd64/amd64/pmap.c#133 (text+ko) ==== @@ -79,7 +79,7 @@ #define AMD64_NPT_AWARE #include -__FBSDID("$FreeBSD: head/sys/amd64/amd64/pmap.c 256166 2013-10-08 20:04:35Z dim $"); +__FBSDID("$FreeBSD: head/sys/amd64/amd64/pmap.c 256645 2013-10-16 18:20:27Z neel $"); /* * Manages physical address maps. @@ -371,6 +371,8 @@ SYSCTL_INT(_vm_pmap, OID_AUTO, pcid_enabled, CTLFLAG_RDTUN, &pmap_pcid_enabled, 0, "Is TLB Context ID enabled ?"); int invpcid_works = 0; +SYSCTL_INT(_vm_pmap, OID_AUTO, invpcid_works, CTLFLAG_RD, &invpcid_works, 0, + "Is the invpcid instruction available ?"); static int pmap_pcid_save_cnt_proc(SYSCTL_HANDLER_ARGS) ==== //depot/projects/smpng/sys/amd64/conf/GENERIC#109 (text+ko) ==== @@ -16,7 +16,7 @@ # If you are in doubt as to the purpose or necessity of a line, check first # in NOTES. # -# $FreeBSD: head/sys/amd64/conf/GENERIC 255752 2013-09-21 01:07:27Z gjb $ +# $FreeBSD: head/sys/amd64/conf/GENERIC 256328 2013-10-11 19:40:28Z gjb $ cpu HAMMER ident GENERIC @@ -72,7 +72,6 @@ options KDTRACE_HOOKS # Kernel DTrace hooks options DDB_CTF # Kernel ELF linker loads CTF data options INCLUDE_CONFIG_FILE # Include this file in kernel -options XENHVM # Include Xen support # Debugging support. Always need this: options KDB # Enable kernel debugger support. @@ -345,8 +344,10 @@ # HyperV drivers device hyperv # HyperV drivers -# Xen support -device xenpci # Generic Xen bus +# Xen HVM Guest Optimizations +# NOTE: XENHVM depends on xenpci. They must be added or removed together. +options XENHVM # Xen HVM kernel infrastructure +device xenpci # Xen HVM Hypervisor services driver # VMware support device vmx # VMware VMXNET3 Ethernet ==== //depot/projects/smpng/sys/amd64/include/vmm.h#5 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/include/vmm.h 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/include/vmm.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMM_H_ @@ -223,6 +223,7 @@ VM_CAP_MTRAP_EXIT, VM_CAP_PAUSE_EXIT, VM_CAP_UNRESTRICTED_GUEST, + VM_CAP_ENABLE_INVPCID, VM_CAP_MAX }; ==== //depot/projects/smpng/sys/amd64/vmm/intel/vmx.c#7 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256645 2013-10-16 18:20:27Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256072 2013-10-05 21:22:35Z neel $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/intel/vmx.c 256645 2013-10-16 18:20:27Z neel $"); #include #include @@ -164,6 +164,7 @@ static int cap_pause_exit; static int cap_unrestricted_guest; static int cap_monitor_trap; +static int cap_invpcid; static struct unrhdr *vpid_unr; static u_int vpid_alloc_failed; @@ -660,6 +661,11 @@ PROCBASED2_UNRESTRICTED_GUEST, 0, &tmp) == 0); + cap_invpcid = (vmx_set_ctlreg(MSR_VMX_PROCBASED_CTLS2, + MSR_VMX_PROCBASED_CTLS2, PROCBASED2_ENABLE_INVPCID, 0, + &tmp) == 0); + + /* Initialize EPT */ error = ept_init(); if (error) { @@ -828,6 +834,7 @@ vmx->cap[i].set = 0; vmx->cap[i].proc_ctls = procbased_ctls; + vmx->cap[i].proc_ctls2 = procbased_ctls2; vmx->state[i].lastcpu = -1; vmx->state[i].vpid = vpid[i]; @@ -1932,6 +1939,10 @@ if (cap_unrestricted_guest) ret = 0; break; + case VM_CAP_ENABLE_INVPCID: + if (cap_invpcid) + ret = 0; + break; default: break; } @@ -1988,11 +1999,21 @@ case VM_CAP_UNRESTRICTED_GUEST: if (cap_unrestricted_guest) { retval = 0; - baseval = procbased_ctls2; + pptr = &vmx->cap[vcpu].proc_ctls2; + baseval = *pptr; flag = PROCBASED2_UNRESTRICTED_GUEST; reg = VMCS_SEC_PROC_BASED_CTLS; } break; + case VM_CAP_ENABLE_INVPCID: + if (cap_invpcid) { + retval = 0; + pptr = &vmx->cap[vcpu].proc_ctls2; + baseval = *pptr; + flag = PROCBASED2_ENABLE_INVPCID; + reg = VMCS_SEC_PROC_BASED_CTLS; + } + break; default: break; } ==== //depot/projects/smpng/sys/amd64/vmm/intel/vmx.h#4 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx.h 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMX_H_ @@ -84,6 +84,7 @@ struct vmxcap { int set; uint32_t proc_ctls; + uint32_t proc_ctls2; }; struct vmxstate { ==== //depot/projects/smpng/sys/amd64/vmm/intel/vmx_controls.h#3 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/intel/vmx_controls.h 245678 2013-01-20 03:42:49Z neel $ + * $FreeBSD: head/sys/amd64/vmm/intel/vmx_controls.h 256645 2013-10-16 18:20:27Z neel $ */ #ifndef _VMX_CONTROLS_H_ @@ -68,6 +68,7 @@ #define PROCBASED2_WBINVD_EXITING (1 << 6) #define PROCBASED2_UNRESTRICTED_GUEST (1 << 7) #define PROCBASED2_PAUSE_LOOP_EXITING (1 << 10) +#define PROCBASED2_ENABLE_INVPCID (1 << 12) /* VM Exit Controls */ #define VM_EXIT_SAVE_DEBUG_CONTROLS (1 << 2) ==== //depot/projects/smpng/sys/amd64/vmm/vmm_dev.c#6 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256072 2013-10-05 21:22:35Z neel $ + * $FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256570 2013-10-16 00:58:47Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256072 2013-10-05 21:22:35Z neel $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/vmm_dev.c 256570 2013-10-16 00:58:47Z neel $"); #include #include @@ -60,7 +60,10 @@ struct vm *vm; /* vm instance cookie */ struct cdev *cdev; SLIST_ENTRY(vmmdev_softc) link; + int flags; }; +#define VSC_LINKED 0x01 + static SLIST_HEAD(, vmmdev_softc) head; static struct mtx vmmdev_mtx; @@ -104,7 +107,6 @@ static char zerobuf[PAGE_SIZE]; error = 0; - mtx_lock(&vmmdev_mtx); sc = vmmdev_lookup2(cdev); if (sc == NULL) error = ENXIO; @@ -134,8 +136,6 @@ vm_gpa_release(cookie); } } - - mtx_unlock(&vmmdev_mtx); return (error); } @@ -379,34 +379,28 @@ int error; struct vmmdev_softc *sc; - mtx_lock(&vmmdev_mtx); - sc = vmmdev_lookup2(cdev); if (sc != NULL && (nprot & PROT_EXEC) == 0) error = vm_get_memobj(sc->vm, *offset, size, offset, object); else error = EINVAL; - mtx_unlock(&vmmdev_mtx); - return (error); } static void -vmmdev_destroy(struct vmmdev_softc *sc, boolean_t unlink) +vmmdev_destroy(void *arg) { - /* - * XXX must stop virtual machine instances that may be still - * running and cleanup their state. - */ - if (sc->cdev) + struct vmmdev_softc *sc = arg; + + if (sc->cdev != NULL) destroy_dev(sc->cdev); - if (sc->vm) + if (sc->vm != NULL) vm_destroy(sc->vm); - if (unlink) { + if ((sc->flags & VSC_LINKED) != 0) { mtx_lock(&vmmdev_mtx); SLIST_REMOVE(&head, sc, vmmdev_softc, link); mtx_unlock(&vmmdev_mtx); @@ -421,27 +415,38 @@ int error; char buf[VM_MAX_NAMELEN]; struct vmmdev_softc *sc; + struct cdev *cdev; strlcpy(buf, "beavis", sizeof(buf)); error = sysctl_handle_string(oidp, buf, sizeof(buf), req); if (error != 0 || req->newptr == NULL) return (error); - /* - * XXX TODO if any process has this device open then fail - */ - mtx_lock(&vmmdev_mtx); sc = vmmdev_lookup(buf); - if (sc == NULL) { + if (sc == NULL || sc->cdev == NULL) { mtx_unlock(&vmmdev_mtx); return (EINVAL); } - sc->cdev->si_drv1 = NULL; + /* + * The 'cdev' will be destroyed asynchronously when 'si_threadcount' + * goes down to 0 so we should not do it again in the callback. + */ + cdev = sc->cdev; + sc->cdev = NULL; mtx_unlock(&vmmdev_mtx); - vmmdev_destroy(sc, TRUE); + /* + * Schedule the 'cdev' to be destroyed: + * + * - any new operations on this 'cdev' will return an error (ENXIO). + * + * - when the 'si_threadcount' dwindles down to zero the 'cdev' will + * be destroyed and the callback will be invoked in a taskqueue + * context. + */ + destroy_dev_sched_cb(cdev, vmmdev_destroy, sc); return (0); } @@ -462,6 +467,7 @@ { int error; struct vm *vm; + struct cdev *cdev; struct vmmdev_softc *sc, *sc2; char buf[VM_MAX_NAMELEN]; @@ -489,22 +495,28 @@ */ mtx_lock(&vmmdev_mtx); sc2 = vmmdev_lookup(buf); - if (sc2 == NULL) + if (sc2 == NULL) { SLIST_INSERT_HEAD(&head, sc, link); + sc->flags |= VSC_LINKED; + } mtx_unlock(&vmmdev_mtx); if (sc2 != NULL) { - vmmdev_destroy(sc, FALSE); + vmmdev_destroy(sc); return (EEXIST); } - error = make_dev_p(MAKEDEV_CHECKNAME, &sc->cdev, &vmmdevsw, NULL, + error = make_dev_p(MAKEDEV_CHECKNAME, &cdev, &vmmdevsw, NULL, UID_ROOT, GID_WHEEL, 0600, "vmm/%s", buf); if (error != 0) { - vmmdev_destroy(sc, TRUE); + vmmdev_destroy(sc); return (error); } + + mtx_lock(&vmmdev_mtx); + sc->cdev = cdev; sc->cdev->si_drv1 = sc; + mtx_unlock(&vmmdev_mtx); return (0); } ==== //depot/projects/smpng/sys/amd64/vmm/x86.c#7 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/amd64/vmm/x86.c 255645 2013-09-17 17:56:53Z grehan $ + * $FreeBSD: head/sys/amd64/vmm/x86.c 256645 2013-10-16 18:20:27Z neel $ */ #include -__FBSDID("$FreeBSD: head/sys/amd64/vmm/x86.c 255645 2013-09-17 17:56:53Z grehan $"); +__FBSDID("$FreeBSD: head/sys/amd64/vmm/x86.c 256645 2013-10-16 18:20:27Z neel $"); #include #include @@ -53,7 +53,7 @@ x86_emulate_cpuid(struct vm *vm, int vcpu_id, uint32_t *eax, uint32_t *ebx, uint32_t *ecx, uint32_t *edx) { - int error; + int error, enable_invpcid; unsigned int func, regs[4]; enum x2apic_state x2apic_state; @@ -202,8 +202,22 @@ regs[0] |= 0x04008000; break; + case CPUID_0000_0007: + regs[0] = 0; + regs[1] = 0; + regs[2] = 0; + regs[3] = 0; + + /* leaf 0 */ + if (*ecx == 0) { + error = vm_get_capability(vm, vcpu_id, + VM_CAP_ENABLE_INVPCID, &enable_invpcid); + if (error == 0 && enable_invpcid) + regs[1] |= CPUID_STDEXT_INVPCID; + } + break; + case CPUID_0000_0006: - case CPUID_0000_0007: case CPUID_0000_000A: case CPUID_0000_000D: /* ==== //depot/projects/smpng/sys/arm/arm/busdma_machdep-v6.c#6 (text+ko) ==== @@ -31,7 +31,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/busdma_machdep-v6.c 254229 2013-08-11 21:21:02Z cognet $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/busdma_machdep-v6.c 256638 2013-10-16 16:35:25Z ian $"); #define _ARM32_BUS_DMA_PRIVATE #include @@ -425,14 +425,21 @@ if (_bus_dma_can_bounce(newtag->lowaddr, newtag->highaddr) || newtag->alignment > 1) newtag->flags |= BUS_DMA_COULD_BOUNCE; - else - maxsize = 2; /* Need at most 2 bounce pages for unaligned access on cache line boundaries */ + /* + * Any request can auto-bounce due to cacheline alignment, in addition + * to any alignment or boundary specifications in the tag, so if the + * ALLOCNOW flag is set, there's always work to do. + */ if ((flags & BUS_DMA_ALLOCNOW) != 0) { struct bounce_zone *bz; - - /* Must bounce */ - + /* + * Round size up to a full page, and add one more page because + * there can always be one more boundary crossing than the + * number of pages in a transfer. + */ + maxsize = roundup2(maxsize, PAGE_SIZE) + PAGE_SIZE; + if ((error = alloc_bounce_zone(newtag)) != 0) { free(newtag, M_DEVBUF); return (error); @@ -518,20 +525,22 @@ STAILQ_INIT(&(mapp->bpages)); /* - * Attempt to add pages to our pool on a per-instance - * basis up to a sane limit. + * Attempt to add pages to our pool on a per-instance basis up to a sane + * limit. Even if the tag isn't flagged as COULD_BOUNCE due to + * alignment and boundary constraints, it could still auto-bounce due to + * cacheline alignment, which requires at most two bounce pages. */ if (dmat->flags & BUS_DMA_COULD_BOUNCE) maxpages = MAX_BPAGES; else - maxpages = 2 * bz->map_count; /* Only need at most 2 pages for buffers unaligned on cache line boundaries */ + maxpages = 2 * bz->map_count; if ((dmat->flags & BUS_DMA_MIN_ALLOC_COMP) == 0 || (bz->map_count > 0 && bz->total_bpages < maxpages)) { int pages; - pages = MAX(atop(dmat->maxsize), 1); + pages = atop(roundup2(dmat->maxsize, PAGE_SIZE)) + 1; pages = MIN(maxpages - bz->total_bpages, pages); - pages = MAX(pages, 1); + pages = MAX(pages, 2); if (alloc_bounce_pages(dmat, pages) < pages) return (ENOMEM); @@ -1273,7 +1282,12 @@ } break; + case BUS_DMASYNC_POSTREAD: + case BUS_DMASYNC_POSTWRITE: + case BUS_DMASYNC_POSTREAD | BUS_DMASYNC_POSTWRITE: + break; default: + panic("unsupported combination of sync operations: 0x%08x\n", op); break; } } ==== //depot/projects/smpng/sys/arm/arm/cpufunc.c#30 (text+ko) ==== @@ -45,7 +45,7 @@ * Created : 30/01/97 */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc.c 253857 2013-08-01 10:06:19Z ganbold $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc.c 256629 2013-10-16 15:20:27Z br $"); #include #include @@ -1476,7 +1476,8 @@ } #endif /* CPU_ARM1136 || CPU_ARM1176 */ #ifdef CPU_CORTEXA - if (cputype == CPU_ID_CORTEXA7 || + if (cputype == CPU_ID_CORTEXA5 || + cputype == CPU_ID_CORTEXA7 || cputype == CPU_ID_CORTEXA8R1 || cputype == CPU_ID_CORTEXA8R2 || cputype == CPU_ID_CORTEXA8R3 || ==== //depot/projects/smpng/sys/arm/arm/cpufunc_asm_armv7.S#4 (text+ko) ==== @@ -30,7 +30,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc_asm_armv7.S 248361 2013-03-16 02:48:49Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/cpufunc_asm_armv7.S 256707 2013-10-17 21:06:19Z cognet $"); .cpu cortex-a8 @@ -57,9 +57,9 @@ #define PT_OUTER_WBWA (1 << 3) #ifdef SMP -#define PT_ATTR (PT_S|PT_INNER_WT|PT_OUTER_WT|PT_NOS) +#define PT_ATTR (PT_S|PT_INNER_WBWA|PT_OUTER_WBWA|PT_NOS) #else -#define PT_ATTR (PT_INNER_WT|PT_OUTER_WT) +#define PT_ATTR (PT_INNER_WBWA|PT_OUTER_WBWA) #endif ENTRY(armv7_setttb) @@ -98,7 +98,7 @@ ldr r1, .Lpage_mask bic r0, r0, r1 #ifdef SMP - mcr p15, 0, r0, c8, c3, 1 /* flush D tlb single entry Inner Shareable*/ + mcr p15, 0, r0, c8, c3, 3 /* flush D tlb single entry Inner Shareable*/ mcr p15, 0, r0, c7, c1, 6 /* flush BTB Inner Shareable */ #else mcr p15, 0, r0, c8, c7, 1 /* flush D tlb single entry */ ==== //depot/projects/smpng/sys/arm/arm/fusu.S#13 (text+ko) ==== @@ -37,7 +37,7 @@ #include #include #include "assym.s" -__FBSDID("$FreeBSD: head/sys/arm/arm/fusu.S 248361 2013-03-16 02:48:49Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/fusu.S 256748 2013-10-18 17:21:47Z cognet $"); #ifdef _ARM_ARCH_6 #define GET_PCB(tmp) \ @@ -67,11 +67,27 @@ stmfd sp!, {r4, r5} adr r4, .Lcasuwordfault str r4, [r3, #PCB_ONFAULT] +#ifdef _ARM_ARCH_6 +1: + cmp r0, #KERNBASE + mvnhs r0, #0 + bhs 2f + + ldrex r5, [r0] + cmp r5, r1 + movne r0, r5 + bne 2f + strex r5, r2, [r0] + cmp r5, #0 + bne 1b +#else ldrt r5, [r0] cmp r5, r1 movne r0, r5 streqt r2, [r0] +#endif moveq r0, r1 +2: ldmfd sp!, {r4, r5} mov r1, #0x00000000 str r1, [r3, #PCB_ONFAULT] ==== //depot/projects/smpng/sys/arm/arm/identcpu.c#25 (text+ko) ==== @@ -42,7 +42,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/identcpu.c 253857 2013-08-01 10:06:19Z ganbold $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/identcpu.c 256629 2013-10-16 15:20:27Z br $"); #include #include #include @@ -236,6 +236,8 @@ { CPU_ID_ARM1026EJS, CPU_CLASS_ARM10EJ, "ARM1026EJ-S", generic_steppings }, + { CPU_ID_CORTEXA5, CPU_CLASS_CORTEXA, "Cortex A5", + generic_steppings }, { CPU_ID_CORTEXA7, CPU_CLASS_CORTEXA, "Cortex A7", generic_steppings }, { CPU_ID_CORTEXA8R1, CPU_CLASS_CORTEXA, "Cortex A8-r1", ==== //depot/projects/smpng/sys/arm/arm/locore.S#27 (text+ko) ==== @@ -39,7 +39,7 @@ #include #include -__FBSDID("$FreeBSD: head/sys/arm/arm/locore.S 250928 2013-05-23 12:07:41Z gber $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/locore.S 256628 2013-10-16 14:24:22Z ian $"); /* What size should this really be ? It is only used by initarm() */ #define INIT_ARM_STACK_SIZE (2048 * 4) @@ -187,7 +187,7 @@ mrc p15, 0, r0, c1, c0, 0 #ifdef _ARM_ARCH_6 orr r0, r0, #(CPU_CONTROL_V6_EXTPAGE | CPU_CONTROL_UNAL_ENABLE) - orr r2, r2, #(CPU_CONTROL_AFLT_ENABLE) + orr r0, r0, #(CPU_CONTROL_AFLT_ENABLE) orr r0, r0, #(CPU_CONTROL_AF_ENABLE) #endif orr r0, r0, #(CPU_CONTROL_MMU_ENABLE) ==== //depot/projects/smpng/sys/arm/arm/machdep.c#46 (text+ko) ==== @@ -49,7 +49,7 @@ #include "opt_timer.h" #include -__FBSDID("$FreeBSD: head/sys/arm/arm/machdep.c 255091 2013-08-31 07:08:21Z rpaulo $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/machdep.c 256672 2013-10-17 09:57:09Z cognet $"); #include >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Mon Oct 21 22:32:59 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 752FE307; Mon, 21 Oct 2013 22:32:59 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 1459C305 for ; Mon, 21 Oct 2013 22:32:59 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id F316F2B94 for ; Mon, 21 Oct 2013 22:32:58 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9LMWwQu026707 for ; Mon, 21 Oct 2013 22:32:58 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9LMWwMv026702 for perforce@freebsd.org; Mon, 21 Oct 2013 22:32:58 GMT (envelope-from brooks@freebsd.org) Date: Mon, 21 Oct 2013 22:32:58 GMT Message-Id: <201310212232.r9LMWwMv026702@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1176062 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Mon, 21 Oct 2013 22:32:59 -0000 http://p4web.freebsd.org/@@1176062?ac=10 Change 1176062 by brooks@brooks_zenith on 2013/10/21 22:32:07 IFC @ 1175606 Loop back merged functionality plus the latest FreeBSD updates. Affected files ... .. //depot/projects/ctsrd/beribsd/src/Makefile.inc1#15 integrate .. //depot/projects/ctsrd/beribsd/src/ObsoleteFiles.inc#12 integrate .. //depot/projects/ctsrd/beribsd/src/bin/ps/print.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/bin/sh/jobs.c#11 integrate .. //depot/projects/ctsrd/beribsd/src/bin/sh/miscbltin.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/opensolaris/lib/libdtrace/common/dt_open.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/lib/libdtrace/psinfo.d#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/config/tc-arm.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/config/tc-mips.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/config/tc-mips.h#2 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/dwarf2dbg.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/bsnmp/lib/snmp.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/bsnmp/lib/snmp.h#2 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/bsnmp/lib/snmpagent.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/ChangeLog#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/FREEBSD-diffs#5 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/FREEBSD-upgrade#3 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/VERSION#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/doc/jemalloc.3#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/include/jemalloc/internal/arena.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/include/jemalloc/internal/jemalloc_internal.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/include/jemalloc/internal/private_namespace.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/include/jemalloc/internal/tcache.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/include/jemalloc/jemalloc.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/src/arena.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/src/chunk.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/src/ctl.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/src/jemalloc.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/libcxxrt/typeinfo.cc#4 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/mtree/compare.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/mtree/create.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/mtree/getid.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/mtree/spec.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/etc/defaults/rc.conf#7 integrate .. //depot/projects/ctsrd/beribsd/src/etc/login.conf#5 integrate .. //depot/projects/ctsrd/beribsd/src/etc/mtree/BSD.usr.dist#9 integrate .. //depot/projects/ctsrd/beribsd/src/etc/rc#6 edit .. //depot/projects/ctsrd/beribsd/src/etc/rc.d/jail#6 integrate .. //depot/projects/ctsrd/beribsd/src/etc/rc.d/sendmail#5 integrate .. //depot/projects/ctsrd/beribsd/src/etc/sendmail/freebsd.mc#4 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libc/gen/popen.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libc/gen/syslog.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libc/iconv/citrus_mapper.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libc/sys/getrlimit.2#3 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libcrypt/tests/Makefile#2 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libproc/_libproc.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libutil/login_class.3#3 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libutil/login_class.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libvmmapi/vmmapi.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/lib/libz/zopen.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/release/picobsd/build/picobsd#7 integrate .. //depot/projects/ctsrd/beribsd/src/release/picobsd/floppy.tree/etc/rc1#3 integrate .. //depot/projects/ctsrd/beribsd/src/release/picobsd/floppy.tree/etc/ssh/sshd_config#2 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/gvinum/gvinum.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/ifconfig/ifconfig.8#6 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/ifconfig/ifgroup.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/iscontrol/fsm.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/route/keywords#3 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/route/route.8#4 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/route/route.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/share/examples/bhyve/vmrun.sh#3 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man4/Makefile#20 edit .. //depot/projects/ctsrd/beribsd/src/share/man/man4/altera_atse.4#2 edit .. //depot/projects/ctsrd/beribsd/src/share/man/man5/rc.conf.5#10 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man8/rc.8#6 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man8/rc.sendmail.8#3 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man9/rtentry.9#3 integrate .. //depot/projects/ctsrd/beribsd/src/share/mk/atf.test.mk#3 integrate .. //depot/projects/ctsrd/beribsd/src/share/mk/bsd.test.mk#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/amd64/amd64/pmap.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/amd64/include/vmm.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/amd64/vmm/intel/vmx.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/amd64/vmm/intel/vmx.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/amd64/vmm/intel/vmx_controls.h#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/amd64/vmm/vmm_dev.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/amd64/vmm/x86.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/busdma_machdep-v6.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/cpufunc.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/cpufunc_asm_armv7.S#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/fusu.S#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/identcpu.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/locore.S#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/machdep.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/pl310.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/pmap-v6.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/dwc_otg_brcm.c#3 delete .. //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/files.bcm2835#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/conf/IMX53-QSB#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/arm/freescale/imx/files.imx53#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/freescale/imx/imx51_ccm.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/include/armreg.h#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/include/pmap.h#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/mv/common.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/mv/mvreg.h#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/i80321/ep80219_machdep.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/i80321/iq31244_machdep.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/i8134x/crb_machdep.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/ixp425/avila_machdep.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/pxa/pxa_machdep.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/digi-ccwmx53.dts#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/imx53-qsb.dts#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_all.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_all.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_da.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_pmp.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_xpt.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_ccb.h#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_periph.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_periph.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_queue.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_queue.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_sim.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_sim.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_xpt.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_xpt.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_xpt_internal.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_xpt_periph.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_xpt_sim.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ctl/ctl_frontend_cam_sim.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ctl/scsi_ctl.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_all.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_all.h#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_cd.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_ch.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_da.c#11 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_enc.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_enc_internal.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_enc_safte.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_enc_ses.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_pass.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_pt.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_sa.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_sg.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_targ_bh.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_target.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_xpt.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/sys/dtrace.h#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/intel/dtrace/fasttrap_isa.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/dev/dtrace/amd64/dtrace_isa.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/dev/dtrace/dtrace_sysctl.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/files#23 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/files.powerpc#11 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/options#13 integrate .. //depot/projects/ctsrd/beribsd/src/sys/contrib/dev/run/rt2870.fw.uu#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ahci/ahci.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ahci/ahci.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/aic7xxx/aic79xx.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/aic7xxx/aic7xxx.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/altera/atse/a_api.h#2 edit .. //depot/projects/ctsrd/beribsd/src/sys/dev/altera/atse/if_atse.c#17 edit .. //depot/projects/ctsrd/beribsd/src/sys/dev/altera/atse/if_atse_fdt.c#2 edit .. //depot/projects/ctsrd/beribsd/src/sys/dev/altera/atse/if_atse_nexus.c#2 edit .. //depot/projects/ctsrd/beribsd/src/sys/dev/altera/atse/if_atsereg.h#4 edit .. //depot/projects/ctsrd/beribsd/src/sys/dev/altera/sdcard/altera_sdcard.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/altera/sdcard/altera_sdcard_io.c#17 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ata/ata-all.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ath/if_ath.c#12 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ath/if_ath_tx.c#11 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/cfi/cfi_core.c#22 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/cm.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/cq.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/device.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/ev.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/id_table.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/mem.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/provider.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/qp.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/resource.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/t4.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/cxgbe/iw_cxgbe/user.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/drm2/i915/i915_drv.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/drm2/radeon/radeon_drv.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/etherswitch/arswitch/arswitch.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/etherswitch/arswitch/arswitch_9340.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/etherswitch/arswitch/arswitch_9340.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/etherswitch/arswitch/arswitch_vlans.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/etherswitch/arswitch/arswitchvar.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_common.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_common.h#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_ic_if.m#4 edit .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdtbus.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/simplebus.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ffec/if_ffec.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/ffec/if_ffecreg.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/firewire/sbp_targ.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/isp/isp.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/isp/isp_freebsd.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/isp/isp_freebsd.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/isp/ispvar.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/mpt/mpt_cam.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/mvs/mvs.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/ofw_bus_subr.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/random/ivy.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/re/if_re.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/siis/siis.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/uart/uart_dev_pl011.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/controller/dwc_otg_fdt.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/controller/musb_otg.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/controller/musb_otg.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/controller/xhci.c#11 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/serial/uslcom.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/usbdevs#13 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/wlan/if_run.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/usb/wlan/if_runreg.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_dev.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_disk.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_io.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_slice.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/label/g_label.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part_apm.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part_bsd.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part_ebr.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part_gpt.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part_mbr.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part_pc98.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part_vtoc8.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/g_raid.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/g_raid.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/tr_concat.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/tr_raid0.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/tr_raid1.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/tr_raid1e.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/tr_raid5.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/kern_event.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/kern_resource.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/makesyscalls.sh#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/subr_devstat.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/subr_taskqueue.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/uipc_syscalls.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/vfs_bio.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/atheros/ar71xx_spi.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/atheros/if_arge.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/atheros/if_argevar.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_machdep.c#12 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/files.beri#33 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/DB120#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/DB120.hints#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/modules/Makefile#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/modules/cxgb/Makefile#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/modules/cxgbe/Makefile#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/modules/cxgbe/iw_cxgbe/Makefile#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/net/flowtable.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net/ieee8023ad_lacp.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net/if_media.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net/radix.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net/radix.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net/route.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/netgraph/ng_base.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/netinet/in.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/netinet/sctp_constants.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/netinet/sctp_usrreq.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ofed/drivers/net/mlx4/en_netdev.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/pci/if_rlreg.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/aim/clock.c#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/aim/interrupt.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/aim/machdep.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/aim/nexus.c#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/aim/vm_machdep.c#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/booke/clock.c#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/booke/machdep.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/booke/vm_machdep.c#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/conf/GENERIC64#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/mpc85xx/nexus.c#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/ofw/ofw_pci.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/ofw/ofw_pci.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/ofw/ofw_pcib_pci.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/ofw/ofw_pcibus.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powermac/ata_kauai.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powermac/ata_macio.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/clock.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/nexus.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/vm_machdep.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/pseries/phyp-hvcall.S#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/pseries/phyp_llan.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/sys/_task.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sys/devicestat.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sys/eventvar.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sys/param.h#12 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sys/resource.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sys/resourcevar.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sys/taskqueue.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ffs/ffs_extern.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ffs/ffs_softdep.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ffs/ffs_vfsops.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ffs/softdep.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ufs/ufs_extern.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ufs/ufsmount.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/xen/xen-os.h#2 integrate .. //depot/projects/ctsrd/beribsd/src/tools/build/mk/OptionalObsoleteFiles.inc#11 integrate .. //depot/projects/ctsrd/beribsd/src/tools/build/options/WITHOUT_RCS#4 edit .. //depot/projects/ctsrd/beribsd/src/usr.bin/limits/limits.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.bin/pathchk/pathchk.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.bin/procstat/procstat_rlimit.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/usr.bin/uname/uname.1#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.bin/uname/uname.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/bhyverun.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/bhyverun.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/mptbl.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/pci_ahci.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/pci_hostbridge.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/pci_virtio_block.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/pci_virtio_net.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/rtc.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/spinup_ap.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyvectl/bhyvectl.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyveload/bhyveload.8#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyveload/bhyveload.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bsdinstall/bsdinstall.8#5 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bsdinstall/scripts/zfsboot#2 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/freebsd-update/freebsd-update.sh#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/kldxref/kldxref.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/pkg/pkg.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/ppp/mppe.c#3 integrate Differences ... ==== //depot/projects/ctsrd/beribsd/src/Makefile.inc1#15 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/Makefile.inc1 256298 2013-10-10 20:47:11Z dim $ +# $FreeBSD: head/Makefile.inc1 256842 2013-10-21 10:09:48Z bdrewery $ # # Make command line options: # -DNO_CLEANDIR run ${MAKE} clean, instead of ${MAKE} cleandir @@ -1722,6 +1722,13 @@ chflags noschg "${DESTDIR}/$${file}" 2>/dev/null || true; \ rm ${RM_I} "${DESTDIR}/$${file}" <&3; \ fi; \ + for ext in debug symbols; do \ + if ! [ -e "${DESTDIR}/$${file}" ] && [ -f \ + "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}" ]; then \ + rm ${RM_I} "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}" \ + <&3; \ + fi; \ + done; \ done @echo ">>> Old libraries removed" @@ -1733,6 +1740,11 @@ if [ -f "${DESTDIR}/$${file}" -o -L "${DESTDIR}/$${file}" ]; then \ echo "${DESTDIR}/$${file}"; \ fi; \ + for ext in debug symbols; do \ + if [ -f "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}" ]; then \ + echo "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}"; \ + fi; \ + done; \ done delete-old-dirs: @@ -1830,7 +1842,7 @@ CPUTYPE=${XDEV_CPUTYPE} XDDIR=${XDEV_ARCH}-freebsd -XDTP=/usr/${XDDIR} +XDTP=usr/${XDDIR} CDBENV=MAKEOBJDIRPREFIX=${MAKEOBJDIRPREFIX}/${XDDIR} \ INSTALL="sh ${.CURDIR}/tools/install.sh" CDENV= ${CDBENV} \ @@ -1843,8 +1855,8 @@ CDTMP= ${MAKEOBJDIRPREFIX}/${XDDIR}/${.CURDIR}/tmp CDMAKE=${CDENV} PATH=${CDTMP}/usr/bin:${PATH} ${MAKE} ${NOFUN} -CD2MAKE=${CD2ENV} PATH=${CDTMP}/usr/bin:${XDTP}/usr/bin:${PATH} ${MAKE} ${NOFUN} -XDDESTDIR=${DESTDIR}${XDTP} +CD2MAKE=${CD2ENV} PATH=${CDTMP}/usr/bin:${XDDESTDIR}/usr/bin:${PATH} ${MAKE} ${NOFUN} +XDDESTDIR=${DESTDIR}/${XDTP} .if !defined(OSREL) OSREL!= uname -r | sed -e 's/[-(].*//' .endif @@ -1924,6 +1936,7 @@ _xi-links: ${_+_}cd ${XDDESTDIR}/usr/bin; \ + mkdir -p ../../../../usr/bin; \ for i in *; do \ ln -sf ../../${XDTP}/usr/bin/$$i \ ../../../../usr/bin/${XDDIR}-$$i; \ ==== //depot/projects/ctsrd/beribsd/src/ObsoleteFiles.inc#12 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/ObsoleteFiles.inc 256450 2013-10-14 18:31:15Z bdrewery $ +# $FreeBSD: head/ObsoleteFiles.inc 256787 2013-10-20 13:41:42Z antoine $ # # This file lists old files (OLD_FILES), libraries (OLD_LIBS) and # directories (OLD_DIRS) which should get removed at an update. Recently @@ -97,7 +97,7 @@ OLD_FILES+=usr/include/lwres/version.h OLD_FILES+=usr/lib/liblwres.a OLD_FILES+=usr/lib/liblwres.so -OLD_LIBS+=usr/lib/liblwres.so.50 +OLD_LIBS+=usr/lib/liblwres.so.90 OLD_FILES+=usr/lib/liblwres_p.a OLD_FILES+=usr/sbin/arpaname OLD_FILES+=usr/sbin/ddns-confgen @@ -107,6 +107,7 @@ OLD_FILES+=usr/sbin/dnssec-revoke OLD_FILES+=usr/sbin/dnssec-settime OLD_FILES+=usr/sbin/dnssec-signzone +OLD_FILES+=usr/sbin/dnssec-verify OLD_FILES+=usr/sbin/genrandom OLD_FILES+=usr/sbin/isc-hmac-fixup OLD_FILES+=usr/sbin/lwresd @@ -270,6 +271,7 @@ OLD_FILES+=usr/share/man/man8/dnssec-revoke.8.gz OLD_FILES+=usr/share/man/man8/dnssec-settime.8.gz OLD_FILES+=usr/share/man/man8/dnssec-signzone.8.gz +OLD_FILES+=usr/share/man/man8/dnssec-verify.8.gz OLD_FILES+=usr/share/man/man8/genrandom.8.gz OLD_FILES+=usr/share/man/man8/isc-hmac-fixup.8.gz OLD_FILES+=usr/share/man/man8/lwresd.8.gz @@ -304,6 +306,8 @@ OLD_DIRS+=var/named/var/run/named OLD_DIRS+=var/named/var/stats OLD_DIRS+=var/run/named +# 20130923: example moved +OLD_FILES+=usr/share/examples/bsdconfig/browse_packages.sh # 20130908: libssh becomes private OLD_FILES+=usr/lib/libssh.a OLD_FILES+=usr/lib/libssh.so ==== //depot/projects/ctsrd/beribsd/src/bin/ps/print.c#4 (text+ko) ==== @@ -34,7 +34,7 @@ #endif #include -__FBSDID("$FreeBSD: head/bin/ps/print.c 238488 2012-07-15 15:22:13Z jilles $"); +__FBSDID("$FreeBSD: head/bin/ps/print.c 256838 2013-10-21 09:12:04Z trasz $"); #include #include @@ -797,8 +797,6 @@ emulname(KINFO *k, VARENT *ve __unused) { - if (k->ki_p->ki_emul == NULL) - return (NULL); return (strdup(k->ki_p->ki_emul)); } @@ -827,7 +825,6 @@ char * loginclass(KINFO *k, VARENT *ve __unused) { - char *s; /* * Don't display login class for system processes; @@ -837,8 +834,5 @@ if (k->ki_p->ki_flag & P_SYSTEM) { return (strdup("-")); } - s = k->ki_p->ki_loginclass; - if (s == NULL) - return (NULL); - return (strdup(s)); + return (strdup(k->ki_p->ki_loginclass)); } ==== //depot/projects/ctsrd/beribsd/src/bin/sh/jobs.c#11 (text+ko) ==== @@ -36,7 +36,7 @@ #endif #endif /* not lint */ #include -__FBSDID("$FreeBSD: head/bin/sh/jobs.c 255157 2013-09-02 21:57:46Z jilles $"); +__FBSDID("$FreeBSD: head/bin/sh/jobs.c 256735 2013-10-18 12:35:12Z jilles $"); #include #include @@ -978,7 +978,6 @@ waitforjob(struct job *jp, int *origstatus) { #if JOBS - pid_t mypgrp = getpgrp(); int propagate_int = jp->jobctl && jp->foreground; #endif int status; @@ -992,7 +991,7 @@ dotrap(); #if JOBS if (jp->jobctl) { - if (tcsetpgrp(ttyfd, mypgrp) < 0) + if (tcsetpgrp(ttyfd, rootpid) < 0) error("tcsetpgrp failed, errno=%d\n", errno); } if (jp->state == JOBSTOPPED) ==== //depot/projects/ctsrd/beribsd/src/bin/sh/miscbltin.c#6 (text+ko) ==== @@ -36,7 +36,7 @@ #endif #endif /* not lint */ #include -__FBSDID("$FreeBSD: head/bin/sh/miscbltin.c 250214 2013-05-03 15:28:31Z jilles $"); +__FBSDID("$FreeBSD: head/bin/sh/miscbltin.c 256850 2013-10-21 16:46:12Z kib $"); /* * Miscellaneous builtins. @@ -405,6 +405,9 @@ #ifdef RLIMIT_NPTS { "pseudo-terminals", (char *)0, RLIMIT_NPTS, 1, 'p' }, #endif +#ifdef RLIMIT_KQUEUES + { "kqueues", (char *)0, RLIMIT_KQUEUES, 1, 'k' }, +#endif { (char *) 0, (char *)0, 0, 0, '\0' } }; @@ -421,7 +424,7 @@ struct rlimit limit; what = 'f'; - while ((optc = nextopt("HSatfdsmcnuvlbpw")) != '\0') + while ((optc = nextopt("HSatfdsmcnuvlbpwk")) != '\0') switch (optc) { case 'H': how = HARD; ==== //depot/projects/ctsrd/beribsd/src/cddl/contrib/opensolaris/lib/libdtrace/common/dt_open.c#7 (text+ko) ==== @@ -311,6 +311,10 @@ &dt_idops_func, "void(@)" }, { "memref", DT_IDENT_FUNC, 0, DIF_SUBR_MEMREF, DT_ATTR_STABCMN, DT_VERS_1_1, &dt_idops_func, "uintptr_t *(void *, size_t)" }, +#if !defined(sun) +{ "memstr", DT_IDENT_FUNC, 0, DIF_SUBR_MEMSTR, DT_ATTR_STABCMN, DT_VERS_1_0, + &dt_idops_func, "string(void *, char, size_t)" }, +#endif { "min", DT_IDENT_AGGFUNC, 0, DTRACEAGG_MIN, DT_ATTR_STABCMN, DT_VERS_1_0, &dt_idops_func, "void(@)" }, { "mod", DT_IDENT_ACTFUNC, 0, DT_ACT_MOD, DT_ATTR_STABCMN, ==== //depot/projects/ctsrd/beribsd/src/cddl/lib/libdtrace/psinfo.d#4 (text+ko) ==== @@ -21,7 +21,7 @@ * * Portions Copyright 2006 John Birrell jb@freebsd.org * - * $FreeBSD: head/cddl/lib/libdtrace/psinfo.d 239972 2012-09-01 08:14:21Z rpaulo $ + * $FreeBSD: head/cddl/lib/libdtrace/psinfo.d 256571 2013-10-16 01:39:26Z markj $ */ /* * Copyright 2004 Sun Microsystems, Inc. All rights reserved. @@ -57,7 +57,8 @@ pr_gid = T->p_ucred->cr_rgid; pr_egid = T->p_ucred->cr_groups[0]; pr_addr = 0; - pr_psargs = stringof(T->p_args->ar_args); + pr_psargs = (T->p_args->ar_args == 0) ? "" : + memstr(T->p_args->ar_args, ' ', T->p_args->ar_length); pr_arglen = T->p_args->ar_length; pr_jailid = T->p_ucred->cr_prison->pr_id; }; ==== //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/config/tc-arm.c#6 (text+ko) ==== @@ -12660,14 +12660,14 @@ /* Conversions without bitshift. */ const char *enc[] = { - "ftosis", - "ftouis", + "ftosizs", + "ftouizs", "fsitos", "fuitos", "fcvtsd", "fcvtds", - "ftosid", - "ftouid", + "ftosizd", + "ftouizd", "fsitod", "fuitod" }; ==== //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/config/tc-mips.c#2 (text+ko) ==== @@ -15420,21 +15420,6 @@ -mno-octeon-useun generate MIPS unaligned load/store instructions\n")); } -enum dwarf2_format -mips_dwarf2_format (void) -{ - if (HAVE_64BIT_SYMBOLS) - { -#ifdef TE_IRIX - return dwarf2_format_64bit_irix; -#else - return dwarf2_format_64bit; -#endif - } - else - return dwarf2_format_32bit; -} - int mips_dwarf2_addr_size (void) { ==== //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/config/tc-mips.h#2 (text+ko) ==== @@ -155,10 +155,6 @@ extern void mips_enable_auto_align (void); #define md_elf_section_change_hook() mips_enable_auto_align() -enum dwarf2_format; -extern enum dwarf2_format mips_dwarf2_format (void); -#define DWARF2_FORMAT() mips_dwarf2_format () - extern int mips_dwarf2_addr_size (void); #define DWARF2_ADDR_SIZE(bfd) mips_dwarf2_addr_size () ==== //depot/projects/ctsrd/beribsd/src/contrib/binutils/gas/dwarf2dbg.c#2 (text+ko) ==== @@ -1271,6 +1271,7 @@ symbolS *line_end; struct line_seg *s; enum dwarf2_format d2f; + int sizeof_initial_length; int sizeof_offset; subseg_set (line_seg, 0); @@ -1287,27 +1288,24 @@ d2f = DWARF2_FORMAT (); if (d2f == dwarf2_format_32bit) { - expr.X_add_number = -4; - emit_expr (&expr, 4); - sizeof_offset = 4; + sizeof_initial_length = sizeof_offset = 4; } else if (d2f == dwarf2_format_64bit) { - expr.X_add_number = -12; + sizeof_initial_length = 12; + sizeof_offset = 8; out_four (-1); - emit_expr (&expr, 8); - sizeof_offset = 8; } else if (d2f == dwarf2_format_64bit_irix) { - expr.X_add_number = -8; - emit_expr (&expr, 8); - sizeof_offset = 8; + sizeof_initial_length = sizeof_offset = 8; } else { as_fatal (_("internal error: unknown dwarf2 format")); } + expr.X_add_number = -sizeof_initial_length; + emit_expr (&expr, sizeof_offset); /* Version. */ out_two (2); @@ -1316,7 +1314,7 @@ expr.X_op = O_subtract; expr.X_add_symbol = prologue_end; expr.X_op_symbol = line_start; - expr.X_add_number = - (4 + 2 + 4); + expr.X_add_number = - (sizeof_initial_length + 2 + sizeof_offset); emit_expr (&expr, sizeof_offset); /* Parameters of the state machine. */ ==== //depot/projects/ctsrd/beribsd/src/contrib/bsnmp/lib/snmp.c#2 (text+ko) ==== @@ -288,11 +288,13 @@ memset(buf, 0, 256); tb.asn_ptr = buf; tb.asn_len = 256; + u_int len; - if (asn_get_octetstring(b, buf, &tb.asn_len) != ASN_ERR_OK) { + if (asn_get_octetstring(b, buf, &len) != ASN_ERR_OK) { snmp_error("cannot parse usm header"); return (ASN_ERR_FAILED); } + tb.asn_len = len; if (asn_get_sequence(&tb, &octs_len) != ASN_ERR_OK) { snmp_error("cannot decode usm header"); @@ -864,7 +866,7 @@ return (SNMP_CODE_FAILED); pdu->scoped_len = b->asn_ptr - pdu->scoped_ptr; - if ((code = snmp_pdu_fix_padd(b, pdu))!= ASN_ERR_OK) + if (snmp_pdu_fix_padd(b, pdu) != ASN_ERR_OK) return (SNMP_CODE_FAILED); if (pdu->security_model != SNMP_SECMODEL_USM) @@ -997,7 +999,7 @@ if ((err = snmp_pdu_encode_header(resp_b, pdu)) != SNMP_CODE_OK) return (err); for (idx = 0; idx < pdu->nbindings; idx++) - if ((err = snmp_binding_encode(resp_b, &pdu->bindings[idx])) + if (snmp_binding_encode(resp_b, &pdu->bindings[idx]) != ASN_ERR_OK) return (SNMP_CODE_FAILED); ==== //depot/projects/ctsrd/beribsd/src/contrib/bsnmp/lib/snmp.h#2 (text+ko) ==== @@ -182,7 +182,7 @@ /* fixes for encoding */ size_t outer_len; - size_t scoped_len; + asn_len_t scoped_len; u_char *outer_ptr; u_char *digest_ptr; u_char *encrypted_ptr; ==== //depot/projects/ctsrd/beribsd/src/contrib/bsnmp/lib/snmpagent.c#2 (text+ko) ==== @@ -166,7 +166,7 @@ } static void -snmp_pdu_create_response(struct snmp_pdu *pdu, struct snmp_pdu *resp) +snmp_pdu_create_response(const struct snmp_pdu *pdu, struct snmp_pdu *resp) { memset(resp, 0, sizeof(*resp)); strcpy(resp->community, pdu->community); @@ -276,7 +276,12 @@ } } - return (snmp_fix_encoding(resp_b, resp)); + if (snmp_fix_encoding(resp_b, resp) != SNMP_CODE_OK) { + snmp_debug("get: failed to encode PDU"); + return (SNMP_RET_ERR); + } + + return (SNMP_RET_OK); } static struct snmp_node * @@ -438,7 +443,13 @@ return (SNMP_RET_ERR); } } - return (snmp_fix_encoding(resp_b, resp)); + + if (snmp_fix_encoding(resp_b, resp) != SNMP_CODE_OK) { + snmp_debug("getnext: failed to encode PDU"); + return (SNMP_RET_ERR); + } + + return (SNMP_RET_OK); } enum snmp_ret @@ -537,7 +548,12 @@ } done: - return (snmp_fix_encoding(resp_b, resp)); + if (snmp_fix_encoding(resp_b, resp) != SNMP_CODE_OK) { + snmp_debug("getnext: failed to encode PDU"); + return (SNMP_RET_ERR); + } + + return (SNMP_RET_OK); } /* @@ -952,18 +968,57 @@ snmp_make_errresp(const struct snmp_pdu *pdu, struct asn_buf *pdu_b, struct asn_buf *resp_b) { + u_char type; asn_len_t len; struct snmp_pdu resp; enum asn_err err; enum snmp_code code; - memset(&resp, 0, sizeof(resp)); + snmp_pdu_create_response(pdu, &resp); + if ((code = snmp_pdu_decode_header(pdu_b, &resp)) != SNMP_CODE_OK) return (SNMP_RET_IGN); - if (pdu_b->asn_len < len) + if (pdu->version == SNMP_V3) { + if (resp.user.priv_proto != SNMP_PRIV_NOPRIV && + (asn_get_header(pdu_b, &type, &resp.scoped_len) != ASN_ERR_OK + || type != ASN_TYPE_OCTETSTRING)) { + snmp_error("cannot decode encrypted pdu"); + return (SNMP_RET_IGN); + } + + if (asn_get_sequence(pdu_b, &len) != ASN_ERR_OK) { + snmp_error("cannot decode scoped pdu header"); + return (SNMP_RET_IGN); + } + + len = SNMP_ENGINE_ID_SIZ; + if (asn_get_octetstring(pdu_b, (u_char *)resp.context_engine, + &len) != ASN_ERR_OK) { + snmp_error("cannot decode msg context engine"); + return (SNMP_RET_IGN); + } + resp.context_engine_len = len; + len = SNMP_CONTEXT_NAME_SIZ; + if (asn_get_octetstring(pdu_b, (u_char *)resp.context_name, + &len) != ASN_ERR_OK) { + snmp_error("cannot decode msg context name"); + return (SNMP_RET_IGN); + } + resp.context_name[len] = '\0'; + } + + + if (asn_get_header(pdu_b, &type, &len) != ASN_ERR_OK) { + snmp_error("cannot get pdu header"); + return (SNMP_RET_IGN); + } + + if ((type & ~ASN_TYPE_MASK) != + (ASN_TYPE_CONSTRUCTED | ASN_CLASS_CONTEXT)) { + snmp_error("bad pdu header tag"); return (SNMP_RET_IGN); - pdu_b->asn_len = len; + } err = snmp_parse_pdus_hdr(pdu_b, &resp, &len); if (ASN_ERR_STOPPED(err)) ==== //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/ChangeLog#4 (text+ko) ==== @@ -6,6 +6,21 @@ http://www.canonware.com/cgi-bin/gitweb.cgi?p=jemalloc.git git://canonware.com/jemalloc.git +* 3.4.1 (October 20, 2013) + + Bug fixes: + - Fix a race in the "arenas.extend" mallctl that could cause memory corruption + of internal data structures and subsequent crashes. + - Fix Valgrind integration flaws that caused Valgrind warnings about reads of + uninitialized memory in: + + arena chunk headers + + internal zero-initialized data structures (relevant to tcache and prof + code) + - Preserve errno during the first allocation. A readlink(2) call during + initialization fails unless /etc/malloc.conf exists, so errno was typically + set during the first allocation prior to this fix. + - Fix compilation warnings reported by gcc 4.8.1. + * 3.4.0 (June 2, 2013) This version is essentially a small bugfix release, but the addition of @@ -60,7 +75,7 @@ Bug fixes: - Fix "arenas.extend" mallctl to output the number of arenas. - - Fix chunk_recycyle() to unconditionally inform Valgrind that returned memory + - Fix chunk_recycle() to unconditionally inform Valgrind that returned memory is undefined. - Fix build break on FreeBSD related to alloca.h. ==== //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/FREEBSD-diffs#5 (text+ko) ==== @@ -45,7 +45,7 @@ + diff --git a/include/jemalloc/internal/jemalloc_internal.h.in b/include/jemalloc/internal/jemalloc_internal.h.in -index e46ac54..527449d 100644 +index 53c135c..c547339 100644 --- a/include/jemalloc/internal/jemalloc_internal.h.in +++ b/include/jemalloc/internal/jemalloc_internal.h.in @@ -1,5 +1,8 @@ @@ -97,10 +97,10 @@ bool malloc_mutex_init(malloc_mutex_t *mutex); diff --git a/include/jemalloc/internal/private_namespace.h b/include/jemalloc/internal/private_namespace.h -index 65de316..366676b 100644 +index cdb0b0e..2a98d1f 100644 --- a/include/jemalloc/internal/private_namespace.h +++ b/include/jemalloc/internal/private_namespace.h -@@ -216,7 +216,6 @@ +@@ -218,7 +218,6 @@ #define iralloc JEMALLOC_N(iralloc) #define irallocx JEMALLOC_N(irallocx) #define isalloc JEMALLOC_N(isalloc) @@ -244,7 +244,7 @@ +#endif + diff --git a/src/jemalloc.c b/src/jemalloc.c -index bc350ed..352c98e 100644 +index ae56db6..1412a5e 100644 --- a/src/jemalloc.c +++ b/src/jemalloc.c @@ -8,6 +8,10 @@ malloc_tsd_data(, arenas, arena_t *, NULL) ==== //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/FREEBSD-upgrade#3 (text+ko) ==== @@ -60,7 +60,7 @@ local rev=$1 # Clone. rm -rf ${work} - git clone git://canonware.com/jemalloc.git ${work} + git clone https://github.com/jemalloc/jemalloc.git ${work} ( cd ${work} if [ "x${rev}" != "x" ] ; then ==== //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/VERSION#4 (text+ko) ==== @@ -1,1 +1,1 @@ -3.4.0-0-g0ed518e5dab789ad2171bb38977a8927e2a26775 +3.4.1-0-g0135fb806e4137dc9cdf152541926a2bc95e33f0 ==== //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/doc/jemalloc.3#4 (text+ko) ==== @@ -2,12 +2,12 @@ .\" Title: JEMALLOC .\" Author: Jason Evans .\" Generator: DocBook XSL Stylesheets v1.76.1 -.\" Date: 06/02/2013 +.\" Date: 10/20/2013 .\" Manual: User Manual -.\" Source: jemalloc 3.4.0-0-g0ed518e5dab789ad2171bb38977a8927e2a26775 +.\" Source: jemalloc 3.4.1-0-g0135fb806e4137dc9cdf152541926a2bc95e33f0 .\" Language: English .\" -.TH "JEMALLOC" "3" "06/02/2013" "jemalloc 3.4.0-0-g0ed518e5dab7" "User Manual" +.TH "JEMALLOC" "3" "10/20/2013" "jemalloc 3.4.1-0-g0135fb806e41" "User Manual" .\" ----------------------------------------------------------------- .\" * Define some portability stuff .\" ----------------------------------------------------------------- @@ -31,7 +31,7 @@ jemalloc \- general purpose memory allocation functions .SH "LIBRARY" .PP -This manual describes jemalloc 3\&.4\&.0\-0\-g0ed518e5dab789ad2171bb38977a8927e2a26775\&. More information can be found at the +This manual describes jemalloc 3\&.4\&.1\-0\-g0135fb806e4137dc9cdf152541926a2bc95e33f0\&. More information can be found at the \m[blue]\fBjemalloc website\fR\m[]\&\s-2\u[1]\d\s+2\&. .PP The following configuration options are enabled in libc\*(Aqs built\-in jemalloc: ==== //depot/projects/ctsrd/beribsd/src/contrib/jemalloc/include/jemalloc/internal/arena.h#4 (text+ko) ==== @@ -441,6 +441,7 @@ #ifndef JEMALLOC_ENABLE_INLINE arena_chunk_map_t *arena_mapp_get(arena_chunk_t *chunk, size_t pageind); size_t *arena_mapbitsp_get(arena_chunk_t *chunk, size_t pageind); +size_t arena_mapbitsp_read(size_t *mapbitsp); size_t arena_mapbits_get(arena_chunk_t *chunk, size_t pageind); size_t arena_mapbits_unallocated_size_get(arena_chunk_t *chunk, size_t pageind); @@ -451,6 +452,7 @@ size_t arena_mapbits_unzeroed_get(arena_chunk_t *chunk, size_t pageind); size_t arena_mapbits_large_get(arena_chunk_t *chunk, size_t pageind); size_t arena_mapbits_allocated_get(arena_chunk_t *chunk, size_t pageind); +void arena_mapbitsp_write(size_t *mapbitsp, size_t mapbits); void arena_mapbits_unallocated_set(arena_chunk_t *chunk, size_t pageind, size_t size, size_t flags); void arena_mapbits_unallocated_size_set(arena_chunk_t *chunk, size_t pageind, @@ -498,10 +500,17 @@ } JEMALLOC_ALWAYS_INLINE size_t +arena_mapbitsp_read(size_t *mapbitsp) +{ + + return (*mapbitsp); +} + +JEMALLOC_ALWAYS_INLINE size_t arena_mapbits_get(arena_chunk_t *chunk, size_t pageind) { - return (*arena_mapbitsp_get(chunk, pageind)); + return (arena_mapbitsp_read(arena_mapbitsp_get(chunk, pageind))); } JEMALLOC_ALWAYS_INLINE size_t >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Tue Oct 22 16:17:48 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id AE5335A4; Tue, 22 Oct 2013 16:17:48 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 70F695A1 for ; Tue, 22 Oct 2013 16:17:48 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 5DBD0259B for ; Tue, 22 Oct 2013 16:17:48 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MGHmkS051922 for ; Tue, 22 Oct 2013 16:17:48 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MGHmem051919 for perforce@freebsd.org; Tue, 22 Oct 2013 16:17:48 GMT (envelope-from brooks@freebsd.org) Date: Tue, 22 Oct 2013 16:17:48 GMT Message-Id: <201310221617.r9MGHmem051919@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1185295 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 16:17:48 -0000 http://p4web.freebsd.org/@@1185295?ac=10 Change 1185295 by brooks@brooks_zenith on 2013/10/22 16:17:06 GC some #if 0'd debug code. Affected files ... .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_pic.c#9 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_pic.c#9 (text+ko) ==== @@ -218,9 +218,6 @@ static void bp_config_source(device_t ic, int src, int enable, u_long tid, u_long irq) { -#if 0 - char configstr[64]; -#endif struct beripic_softc *sc; uint64_t config; @@ -231,12 +228,6 @@ config |= tid << BP_CFG_SHIFT_TID; config |= irq << BP_CFG_SHIFT_IRQ; -#if 0 - /* There is not valid console when doing IPI setup on APs */ - if (bootverbose && (tid == 0 || !cold)) - device_printf(ic, "src %d: %s\n", src, - bp_strconfig(config, configstr, sizeof(configstr))); -#endif bp_write_cfg(sc, src, config); } From owner-p4-projects@FreeBSD.ORG Tue Oct 22 16:18:50 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 0ECD577A; Tue, 22 Oct 2013 16:18:50 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id C4EF0778 for ; Tue, 22 Oct 2013 16:18:49 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id B163525A8 for ; Tue, 22 Oct 2013 16:18:49 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MGInTU053300 for ; Tue, 22 Oct 2013 16:18:49 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MGInlF053297 for perforce@freebsd.org; Tue, 22 Oct 2013 16:18:49 GMT (envelope-from brooks@freebsd.org) Date: Tue, 22 Oct 2013 16:18:49 GMT Message-Id: <201310221618.r9MGInlF053297@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1185303 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 16:18:50 -0000 http://p4web.freebsd.org/@@1185303?ac=10 Change 1185303 by brooks@brooks_zenith on 2013/10/22 16:17:49 Add SRI copyrights to a couple files where I've done much of the work. Affected files ... .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_asm.S#3 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_mp.c#5 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_asm.S#3 (text+ko) ==== @@ -1,5 +1,6 @@ /*- * Copyright (c) 2012 Robert N. M. Watson + * Copyright (c) 2013 SRI International * All rights reserved. * * This software was developed by SRI International and the University of ==== //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_mp.c#5 (text+ko) ==== @@ -1,5 +1,6 @@ /*- * Copyright (c) 2012 Robert N. M. Watson + * Copyright (c) 2013 SRI International * All rights reserved. * * This software was developed by SRI International and the University of From owner-p4-projects@FreeBSD.ORG Tue Oct 22 20:14:08 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 5E4C5862; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 1ADB285A for ; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id E1F0524D0 for ; Tue, 22 Oct 2013 20:14:07 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MKE7Ea037406 for ; Tue, 22 Oct 2013 20:14:07 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MKE7Nf037403 for perforce@freebsd.org; Tue, 22 Oct 2013 20:14:07 GMT (envelope-from jhb@freebsd.org) Date: Tue, 22 Oct 2013 20:14:07 GMT Message-Id: <201310222014.r9MKE7Nf037403@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1187075 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 20:14:08 -0000 http://p4web.freebsd.org/@@1187075?ac=10 Change 1187075 by jhb@jhb_jhbbsd on 2013/10/22 20:13:20 Remove bogus busmaster frobbing. Affected files ... .. //depot/projects/smpng/sys/dev/mwl/if_mwl_pci.c#6 edit Differences ... ==== //depot/projects/smpng/sys/dev/mwl/if_mwl_pci.c#6 (text+ko) ==== @@ -259,8 +259,6 @@ { struct mwl_pci_softc *psc = device_get_softc(dev); - pci_enable_busmaster(dev); - mwl_resume(&psc->sc_sc); return (0); From owner-p4-projects@FreeBSD.ORG Tue Oct 22 20:14:09 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id D90D8940; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 404B1860 for ; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 2B77C24D2 for ; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MKE8Rn037419 for ; Tue, 22 Oct 2013 20:14:08 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MKE8nZ037416 for perforce@freebsd.org; Tue, 22 Oct 2013 20:14:08 GMT (envelope-from jhb@freebsd.org) Date: Tue, 22 Oct 2013 20:14:08 GMT Message-Id: <201310222014.r9MKE8nZ037416@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1187077 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 20:14:09 -0000 http://p4web.freebsd.org/@@1187077?ac=10 Change 1187077 by jhb@jhb_jhbbsd on 2013/10/22 20:13:34 Possible fix for idprio 31 problem. Affected files ... .. //depot/projects/smpng/sys/kern/sched_ule.c#127 edit Differences ... ==== //depot/projects/smpng/sys/kern/sched_ule.c#127 (text+ko) ==== @@ -243,7 +243,7 @@ int tdq_transferable; /* Transferable thread count. */ short tdq_switchcnt; /* Switches this tick. */ short tdq_oldswitchcnt; /* Switches last tick. */ - u_char tdq_lowpri; /* Lowest priority thread. */ + u_short tdq_lowpri; /* Lowest priority thread. */ u_char tdq_ipipending; /* IPI pending. */ u_char tdq_idx; /* Current insert index. */ u_char tdq_ridx; /* Current removal index. */ @@ -2331,7 +2331,7 @@ tdq->tdq_lowpri = td->td_priority; return (td); } - tdq->tdq_lowpri = PRI_MAX_IDLE; + tdq->tdq_lowpri = PRI_MAX_IDLE + 1; return (PCPU_GET(idlethread)); } From owner-p4-projects@FreeBSD.ORG Tue Oct 22 20:14:09 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 5F8E0A1C; Tue, 22 Oct 2013 20:14:09 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 860FB8FF for ; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 6A3A224D4 for ; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MKE8db037432 for ; Tue, 22 Oct 2013 20:14:08 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MKE8sV037429 for perforce@freebsd.org; Tue, 22 Oct 2013 20:14:08 GMT (envelope-from jhb@freebsd.org) Date: Tue, 22 Oct 2013 20:14:08 GMT Message-Id: <201310222014.r9MKE8sV037429@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1187079 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 20:14:09 -0000 http://p4web.freebsd.org/@@1187079?ac=10 Change 1187079 by jhb@jhb_jhbbsd on 2013/10/22 20:13:53 Robert thinks this is a better check. Affected files ... .. //depot/projects/smpng/sys/kern/sys_process.c#84 edit Differences ... ==== //depot/projects/smpng/sys/kern/sys_process.c#84 (text+ko) ==== @@ -1248,7 +1248,7 @@ { PROC_LOCK_ASSERT(p, MA_OWNED); - if (p->p_flag & P_SYSTEM || p_cansee(td, p) != 0) + if (p->p_flag & P_SYSTEM || p_cansched(td, p) != 0) return (0); if (flags & PPROT_SET) { p->p_flag |= P_PROTECTED; @@ -1384,7 +1384,7 @@ if (p->p_state == PRS_NEW) error = ESRCH; else - error = p_cansee(td, p); + error = p_cansched(td, p); if (error == 0) error = kern_procctl_single(td, p, com, data); PROC_UNLOCK(p); @@ -1406,7 +1406,7 @@ first_error = 0; LIST_FOREACH(p, &pg->pg_members, p_pglist) { PROC_LOCK(p); - if (p->p_state == PRS_NEW || p_cansee(td, p) != 0) { + if (p->p_state == PRS_NEW || p_cansched(td, p) != 0) { PROC_UNLOCK(p); continue; } From owner-p4-projects@FreeBSD.ORG Tue Oct 22 20:14:10 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id A8E4CABB; Tue, 22 Oct 2013 20:14:09 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id B4F1F93E for ; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 8913A24D6 for ; Tue, 22 Oct 2013 20:14:08 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MKE83k037439 for ; Tue, 22 Oct 2013 20:14:08 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MKE8qA037436 for perforce@freebsd.org; Tue, 22 Oct 2013 20:14:08 GMT (envelope-from jhb@freebsd.org) Date: Tue, 22 Oct 2013 20:14:08 GMT Message-Id: <201310222014.r9MKE8qA037436@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1187080 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 20:14:10 -0000 http://p4web.freebsd.org/@@1187080?ac=10 Change 1187080 by jhb@jhb_jhbbsd on 2013/10/22 20:14:07 I still need to kill this from HEAD. Affected files ... .. //depot/projects/smpng/sys/netinet/in.h#61 edit Differences ... ==== //depot/projects/smpng/sys/netinet/in.h#61 (text+ko) ==== @@ -603,78 +603,6 @@ * Third level is protocol number. * Fourth level is desired variable within that protocol. */ -#define IPPROTO_MAXID (IPPROTO_AH + 1) /* don't list to IPPROTO_MAX */ - -#define CTL_IPPROTO_NAMES { \ - { "ip", CTLTYPE_NODE }, \ - { "icmp", CTLTYPE_NODE }, \ - { "igmp", CTLTYPE_NODE }, \ - { "ggp", CTLTYPE_NODE }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { "tcp", CTLTYPE_NODE }, \ - { 0, 0 }, \ - { "egp", CTLTYPE_NODE }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { "pup", CTLTYPE_NODE }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { "udp", CTLTYPE_NODE }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { "idp", CTLTYPE_NODE }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { "ipsec", CTLTYPE_NODE }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { 0, 0 }, \ - { "pim", CTLTYPE_NODE }, \ -} /* * Names for IP sysctl objects From owner-p4-projects@FreeBSD.ORG Tue Oct 22 20:21:19 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id BB9EAEC0; Tue, 22 Oct 2013 20:21:19 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 31006EB7 for ; Tue, 22 Oct 2013 20:21:19 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 0F3CC2567 for ; Tue, 22 Oct 2013 20:21:19 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MKLIch040221 for ; Tue, 22 Oct 2013 20:21:18 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MKLIri040218 for perforce@freebsd.org; Tue, 22 Oct 2013 20:21:18 GMT (envelope-from brooks@freebsd.org) Date: Tue, 22 Oct 2013 20:21:18 GMT Message-Id: <201310222021.r9MKLIri040218@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187103 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 20:21:20 -0000 http://p4web.freebsd.org/@@1187103?ac=10 Change 1187103 by brooks@brooks_zenith on 2013/10/22 20:20:25 Remove references to the now defunct isf(4) device. Affected files ... .. //depot/projects/ctsrd/beribsd/src/ctsrd/atsectl/atsectl.c#5 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/ctsrd/atsectl/atsectl.c#5 (text+ko) ==== @@ -57,25 +57,16 @@ #include -/* XXXBED: should install and include sys/dev/isf.h */ -struct isf_range { - off_t ir_off; /* Offset of range to delete (set to 0xFF) */ - size_t ir_size; /* Size of range */ -}; -#define ISF_ERASE _IOW('I', 1, struct isf_range) -#define ISF_ERASE_BLOCK (128 * 1024) -#define DEV_ISF0_PATH "/dev/isf0" +#define CONFIG_BLOCK (128 * 1024) #define DEV_CFI0_PATH "/dev/cfi0" -static u_char block[ISF_ERASE_BLOCK]; +static u_char block[CONFIG_BLOCK]; #define UNKNOWN 0 -#define ISF 1 -#define CFI 2 +#define CFI 1 static int fdev = UNKNOWN; static const char *fdevs[] = { "UNKNOWN", - "ISF", "CFI" }; static int gflag; @@ -98,59 +89,30 @@ { int fd; - fd = open(DEV_ISF0_PATH, O_RDONLY, 0); - if (fd == -1) - fd = open(DEV_CFI0_PATH, O_RDONLY, 0); - else - fdev = ISF; + fd = open(DEV_CFI0_PATH, O_RDONLY, 0); if (fd == -1) errx(1, "Failed to open " DEV_CFI0_PATH); else fdev = CFI; - if (read(fd, block, sizeof(block)) != ISF_ERASE_BLOCK) + if (read(fd, block, sizeof(block)) != CONFIG_BLOCK) errx(1, "Short read from %s", fdevs[fdev]); close(fd); } static void -erase_block(void) -{ - struct isf_range ir; - int fd; - - if (fdev != ISF) - return; - - fd = open(DEV_ISF0_PATH, O_RDONLY, 0); - if (fd == -1) - errx(1, "Failed to open " DEV_ISF0_PATH); - - ir.ir_off = 0; - ir.ir_size = ISF_ERASE_BLOCK; - - if (ioctl(fd, ISF_ERASE, &ir) == -1) - err(1, "ioctl(%s, %jx, %zx)", DEV_ISF0_PATH, - (intmax_t)ir.ir_off, ir.ir_size); - - close(fd); -} - -static void write_block(void) { int fd; - assert(fdev == ISF || fdev == CFI); + assert(fdev == CFI); - fd = open(DEV_ISF0_PATH, O_WRONLY, 0); - if (fd == -1) - fd = open(DEV_CFI0_PATH, O_WRONLY, 0); + fd = open(DEV_CFI0_PATH, O_WRONLY, 0); if (fd == -1) errx(1, "Failed to open " DEV_CFI0_PATH); - if (write(fd, block, sizeof(block)) != ISF_ERASE_BLOCK) + if (write(fd, block, sizeof(block)) != CONFIG_BLOCK) errx(1, "Short write on %s", fdevs[fdev]); close(fd); @@ -215,12 +177,9 @@ print_eaddr(); if (eaddr == NULL) { - /* (isf|cfi)0.factory_ppr="0x0123456789abcdef" */ - rc = kenv(KENV_GET, "isf0.factory_ppr", buf, sizeof(buf)); + /* cfi0.factory_ppr="0x0123456789abcdef" */ + rc = kenv(KENV_GET, "cfi0.factory_ppr", buf, sizeof(buf)); if (rc == -1) - rc = kenv(KENV_GET, "cfi0.factory_ppr", buf, - sizeof(buf)); - if (rc == -1) err(1, "Could not find Intel flash PPR serial\n"); MD5Init(&ctx); @@ -281,7 +240,6 @@ block[ALTERA_ETHERNET_OPTION_BITS_OFF + 2] = 0x00; block[ALTERA_ETHERNET_OPTION_BITS_OFF + 3] = 0x00; - erase_block(); write_block(); printf("Updated to:\n"); From owner-p4-projects@FreeBSD.ORG Tue Oct 22 20:39:47 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 69E5B893; Tue, 22 Oct 2013 20:39:47 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id CA337888 for ; Tue, 22 Oct 2013 20:39:46 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id B7048268F for ; Tue, 22 Oct 2013 20:39:46 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MKdkTV047393 for ; Tue, 22 Oct 2013 20:39:46 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MKdkhM047390 for perforce@freebsd.org; Tue, 22 Oct 2013 20:39:46 GMT (envelope-from brooks@freebsd.org) Date: Tue, 22 Oct 2013 20:39:46 GMT Message-Id: <201310222039.r9MKdkhM047390@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187168 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 20:39:47 -0000 http://p4web.freebsd.org/@@1187168?ac=10 Change 1187168 by brooks@brooks_zenith on 2013/10/22 20:39:26 Fix a merge error. Affected files ... .. //depot/projects/ctsrd/beribsd/src/tools/build/options/WITHOUT_RCS#5 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/tools/build/options/WITHOUT_RCS#5 (text) ==== @@ -1,4 +1,4 @@ -.\" $FreeBSD: head/tools/build/options/WITHOUT_RCS 156932 2006-03-21 07:50:50Z ru $ +.\" $FreeBSD: head/tools/build/options/WITHOUT_RCS 256198 2013-10-09 17:07:20Z gjb $ Set to not build .Xr rcs 1 and related utilities. From owner-p4-projects@FreeBSD.ORG Tue Oct 22 21:43:06 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 224ABCD6; Tue, 22 Oct 2013 21:43:06 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id CEC8FCD4 for ; Tue, 22 Oct 2013 21:43:05 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id B9A032AB4 for ; Tue, 22 Oct 2013 21:43:05 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MLh5Ns069149 for ; Tue, 22 Oct 2013 21:43:05 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MLh5T6069145 for perforce@freebsd.org; Tue, 22 Oct 2013 21:43:05 GMT (envelope-from brooks@freebsd.org) Date: Tue, 22 Oct 2013 21:43:05 GMT Message-Id: <201310222143.r9MLh5T6069145@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187258 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 21:43:06 -0000 http://p4web.freebsd.org/@@1187258?ac=10 Change 1187258 by brooks@brooks_zenith on 2013/10/22 21:42:13 IFC@1185296 Nearly done merging BERI changes. Affected files ... .. //depot/projects/ctsrd/beribsd/src/Makefile.inc1#16 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/gcclibs/libssp/ssp.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/etc/netstart#3 integrate .. //depot/projects/ctsrd/beribsd/src/etc/rc.d/rtadvd#4 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man4/Makefile#21 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man4/ahci.4#5 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man4/isf.4#4 delete .. //depot/projects/ctsrd/beribsd/src/share/man/man9/disk.9#4 integrate .. //depot/projects/ctsrd/beribsd/src/share/mk/bsd.own.mk#14 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/allwinner/a10_wdog.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/bcm2835_wdog.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/beri-sim.dts#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/beripad-de4.dts#28 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_da.c#11 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_periph.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/cam_xpt.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_da.c#12 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/arc.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_geom.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zvol.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/files#24 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/files.powerpc#12 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/aha/aha.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/buslogic/bt.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/cfi/cfi_bus_nexus.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_common.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_mips.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_pci.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_powerpc.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdtbus.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/isf/isf.c#15 delete .. //depot/projects/ctsrd/beribsd/src/sys/dev/isf/isf.h#11 delete .. //depot/projects/ctsrd/beribsd/src/sys/dev/isf/isf_fdt.c#6 delete .. //depot/projects/ctsrd/beribsd/src/sys/dev/isf/isf_nexus.c#9 delete .. //depot/projects/ctsrd/beribsd/src/sys/dev/md/md.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/ofw_fdt.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/xen/netback/netback.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/concat/g_concat.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/concat/g_concat.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/gate/g_gate.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_dev.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_disk.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_disk.h#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_int.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_io.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_kern.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_slice.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/geom_vfs.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/mirror/g_mirror.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/mirror/g_mirror.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/multipath/g_multipath.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/nop/g_nop.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/nop/g_nop.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/part/g_part.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/g_raid.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/md_ddf.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/md_intel.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/md_jmicron.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/md_nvidia.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/md_promise.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/raid/md_sii.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/stripe/g_stripe.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/stripe/g_stripe.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/geom/zero/g_zero.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/kern_conf.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/subr_devstat.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_pic.c#10 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/files.beri#34 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_DE4.hints#26 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_DE4_BASE#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_DE4_MDROOT#14 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_DE4_SDROOT#13 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_SIM_BASE#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_SIM_MDROOT#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_SIM_SDROOT#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_TEMPLATE#10 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/booke/pmap.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/mambo/mambo_openpic.c#3 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/ofw/openpic_ofw.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powermac/openpic_macio.c#3 delete .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/nexus.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/openpic_fdt.c#3 delete .. //depot/projects/ctsrd/beribsd/src/sys/sys/proc.h#9 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/Makefile#11 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/isfctl/Makefile#5 delete .. //depot/projects/ctsrd/beribsd/src/usr.sbin/isfctl/isfctl.8#4 delete .. //depot/projects/ctsrd/beribsd/src/usr.sbin/isfctl/isfctl.c#5 delete Differences ... ==== //depot/projects/ctsrd/beribsd/src/Makefile.inc1#16 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/Makefile.inc1 256842 2013-10-21 10:09:48Z bdrewery $ +# $FreeBSD: head/Makefile.inc1 256915 2013-10-22 15:53:29Z brooks $ # # Make command line options: # -DNO_CLEANDIR run ${MAKE} clean, instead of ${MAKE} cleandir @@ -1377,12 +1377,10 @@ # If an full path to an external cross compiler is given, don't build # a cross compiler. .if ${XCC:M/*} == "" && ${MK_CROSS_COMPILER} != "no" -.if ${MK_CLANG} != "no" && (${MK_CLANG_IS_CC} != "no" || ${CC:T:Mclang} == "clang") +.if (${MK_CLANG_IS_CC} != "no" || ${CC:T:Mclang} == "clang") && ${TARGET} != "pc98" _clang= usr.bin/clang _clang_libs= lib/clang -.endif - -.if ${MK_GCC} != "no" && (${MK_CLANG_IS_CC} == "no" || ${TARGET} == "pc98") +.else _cc= gnu/usr.bin/cc .endif .endif ==== //depot/projects/ctsrd/beribsd/src/contrib/gcclibs/libssp/ssp.c#2 (text+ko) ==== @@ -128,7 +128,7 @@ #ifdef HAVE_SYSLOG_H /* Only send the error to syslog if there was no tty available. */ else - syslog (LOG_CRIT, msg3); + syslog (LOG_CRIT, "%s", msg3); #endif /* HAVE_SYSLOG_H */ /* Try very hard to exit. Note that signals may be blocked preventing ==== //depot/projects/ctsrd/beribsd/src/etc/netstart#3 (text+ko) ==== @@ -24,7 +24,7 @@ # OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF # SUCH DAMAGE. # -# $FreeBSD: head/etc/netstart 230389 2012-01-20 17:25:15Z rea $ +# $FreeBSD: head/etc/netstart 256875 2013-10-22 06:53:01Z des $ # From: @(#)netstart 5.9 (Berkeley) 3/30/91 # @@ -59,6 +59,7 @@ /etc/rc.d/route6d ${_start} /etc/rc.d/mrouted ${_start} /etc/rc.d/routed ${_start} +/etc/rc.d/rtsold ${_start} /etc/rc.d/nisdomain ${_start} exit 0 ==== //depot/projects/ctsrd/beribsd/src/etc/rc.d/rtadvd#4 (text+ko) ==== @@ -1,6 +1,6 @@ #!/bin/sh # -# $FreeBSD: head/etc/rc.d/rtadvd 247271 2013-02-25 17:07:42Z des $ +# $FreeBSD: head/etc/rc.d/rtadvd 256878 2013-10-22 07:44:26Z des $ # # PROVIDE: rtadvd @@ -14,6 +14,8 @@ name="rtadvd" rcvar="rtadvd_enable" command="/usr/sbin/${name}" +extra_commands="reload" +reload_cmd="rtadvd_reload" start_precmd="rtadvd_precmd" rtadvd_precmd() @@ -62,5 +64,9 @@ return 0 } +rtadvd_reload() { + /usr/sbin/rtadvctl reload +} + load_rc_config $name run_rc_command "$1" ==== //depot/projects/ctsrd/beribsd/src/share/man/man4/Makefile#21 (text+ko) ==== @@ -1,5 +1,5 @@ # @(#)Makefile 8.1 (Berkeley) 6/18/93 -# $FreeBSD: head/share/man/man4/Makefile 256752 2013-10-18 20:44:19Z brooks $ +# $FreeBSD: head/share/man/man4/Makefile 256865 2013-10-21 22:43:38Z brooks $ .include @@ -196,7 +196,6 @@ ipwfw.4 \ isci.4 \ iscsi_initiator.4 \ - isf.4 \ isp.4 \ ispfw.4 \ iwi.4 \ ==== //depot/projects/ctsrd/beribsd/src/share/man/man4/ahci.4#5 (text+ko) ==== @@ -1,4 +1,4 @@ -.\" Copyright (c) 2009-2012 Alexander Motin +.\" Copyright (c) 2009-2013 Alexander Motin .\" All rights reserved. .\" .\" Redistribution and use in source and binary forms, with or without @@ -22,9 +22,9 @@ .\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF .\" SUCH DAMAGE. .\" -.\" $FreeBSD: head/share/man/man4/ahci.4 238805 2012-07-26 13:44:48Z mav $ +.\" $FreeBSD: head/share/man/man4/ahci.4 256886 2013-10-22 11:56:46Z mav $ .\" -.Dd July 25, 2012 +.Dd October 22, 2013 .Dt AHCI 4 .Os .Sh NAME @@ -57,9 +57,9 @@ .It 0 MSI disabled; .It 1 -single MSI vector used, if supported (default); +single MSI vector used, if supported; .It 2 -multiple MSI vectors used, if supported; +multiple MSI vectors used, if supported (default); .El .It Va hint.ahci. Ns Ar X Ns Va .ccc controls Command Completion Coalescing (CCC) usage by the specified controller. @@ -68,6 +68,11 @@ CCC reduces number of context switches on systems with many parallel requests, but it can decrease disk performance on some workloads due to additional command latency. +.It Va hint.ahci. Ns Ar X Ns Va .direct +controls whether the driver should use direct command completion from +interrupt thread(s), or queue them to CAM completion threads. +Default value depends on number of MSI interrupts supported and number of +implemented SATA ports. .It Va hint.ahcich. Ns Ar X Ns Va .pm_level controls SATA interface Power Management for the specified channel, allowing some power to be saved at the cost of additional command ==== //depot/projects/ctsrd/beribsd/src/share/man/man9/disk.9#4 (text+ko) ==== @@ -25,7 +25,7 @@ .\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH .\" DAMAGE. .\" -.\" $FreeBSD: head/share/man/man9/disk.9 242359 2012-10-30 13:05:50Z trasz $ +.\" $FreeBSD: head/share/man/man9/disk.9 256884 2013-10-22 10:21:20Z mav $ .\" .Dd October 30, 2012 .Dt DISK 9 @@ -106,8 +106,6 @@ Optional flags indicating to the storage framework what optional features or descriptions the storage device driver supports. Currently supported flags are -.Dv DISKFLAG_NEEDSGIANT -(maintained by device driver), .Dv DISKFLAG_OPEN (maintained by storage framework), .Dv DISKFLAG_CANDELETE ==== //depot/projects/ctsrd/beribsd/src/share/mk/bsd.own.mk#14 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/share/mk/bsd.own.mk 256198 2013-10-09 17:07:20Z gjb $ +# $FreeBSD: head/share/mk/bsd.own.mk 256915 2013-10-22 15:53:29Z brooks $ # # The include file set common variables for owner, # group, mode, and directories. Defaults are in brackets. @@ -554,7 +554,6 @@ .if ${MK_CLANG} == "no" MK_CLANG_EXTRAS:= no MK_CLANG_FULL:= no -MK_CLANG_IS_CC:= no .endif .if ${MK_CLANG_IS_CC} == "no" ==== //depot/projects/ctsrd/beribsd/src/sys/arm/allwinner/a10_wdog.c#2 (text+ko) ==== @@ -24,7 +24,7 @@ * SUCH DAMAGE. */ #include -__FBSDID("$FreeBSD: head/sys/arm/allwinner/a10_wdog.c 246707 2013-02-12 07:27:40Z gonzo $"); +__FBSDID("$FreeBSD: head/sys/arm/allwinner/a10_wdog.c 256873 2013-10-22 05:22:46Z gonzo $"); #include #include @@ -150,6 +150,18 @@ (wd_intervals[i].value << WDOG_MODE_INTVL_SHIFT) | WDOG_MODE_EN | WDOG_MODE_RST_EN); WRITE(sc, WDOG_CTRL, WDOG_CTRL_RESTART); + *error = 0; + } + else { + /* + * Can't arm + * disable watchdog as watchdog(9) requires + */ + device_printf(sc->dev, + "Can't arm, timeout is more than 16 sec\n"); + mtx_unlock(&sc->mtx); + WRITE(sc, WDOG_MODE, 0); + return; } } else ==== //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/bcm2835_wdog.c#3 (text+ko) ==== @@ -24,7 +24,7 @@ * SUCH DAMAGE. */ #include -__FBSDID("$FreeBSD: head/sys/arm/broadcom/bcm2835/bcm2835_wdog.c 239922 2012-08-30 20:59:37Z gonzo $"); +__FBSDID("$FreeBSD: head/sys/arm/broadcom/bcm2835/bcm2835_wdog.c 256871 2013-10-22 05:19:42Z gonzo $"); #include #include @@ -76,11 +76,10 @@ int wdog_armed; int wdog_period; char wdog_passwd; + struct mtx mtx; }; -#ifdef notyet static void bcmwd_watchdog_fn(void *private, u_int cmd, int *error); -#endif static int bcmwd_probe(device_t dev) @@ -120,19 +119,59 @@ sc->bsh = rman_get_bushandle(sc->res); bcmwd_lsc = sc; -#ifdef notyet + mtx_init(&sc->mtx, "BCM2835 Watchdog", "bcmwd", MTX_DEF); EVENTHANDLER_REGISTER(watchdog_list, bcmwd_watchdog_fn, sc, 0); -#endif + return (0); } -#ifdef notyet static void bcmwd_watchdog_fn(void *private, u_int cmd, int *error) { - /* XXX: not yet */ + struct bcmwd_softc *sc; + uint64_t sec; + uint32_t ticks, reg; + + sc = private; + mtx_lock(&sc->mtx); + + cmd &= WD_INTERVAL; + + if (cmd > 0) { + sec = ((uint64_t)1 << (cmd & WD_INTERVAL)) / 1000000000; + ticks = (sec << 16) & BCM2835_WDOG_TIME_MASK; + if (ticks == 0) { + /* + * Can't arm + * disable watchdog as watchdog(9) requires + */ + device_printf(sc->dev, + "Can't arm, timeout is less than 1 second\n"); + WRITE(sc, BCM2835_RSTC_REG, + (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT) | + BCM2835_RSTC_RESET); + mtx_unlock(&sc->mtx); + return; + } + + reg = (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT) | ticks; + WRITE(sc, BCM2835_WDOG_REG, reg); + + reg = READ(sc, BCM2835_RSTC_REG); + reg &= BCM2835_RSTC_WRCFG_CLR; + reg |= BCM2835_RSTC_WRCFG_FULL_RESET; + reg |= (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT); + WRITE(sc, BCM2835_RSTC_REG, reg); + + *error = 0; + } + else + WRITE(sc, BCM2835_RSTC_REG, + (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT) | + BCM2835_RSTC_RESET); + + mtx_unlock(&sc->mtx); } -#endif void bcmwd_watchdog_reset() ==== //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/beri-sim.dts#7 (text+ko) ==== @@ -28,7 +28,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD$ + * $FreeBSD: head/sys/boot/fdt/dts/beri-sim.dts 256912 2013-10-22 15:45:31Z brooks $ */ /dts-v1/; ==== //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/beripad-de4.dts#28 (text+ko) ==== @@ -28,7 +28,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD$ + * $FreeBSD: head/sys/boot/fdt/dts/beripad-de4.dts 256912 2013-10-22 15:45:31Z brooks $ */ /dts-v1/; ==== //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_da.c#11 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/cam/ata/ata_da.c 256843 2013-10-21 12:00:26Z mav $"); +__FBSDID("$FreeBSD: head/sys/cam/ata/ata_da.c 256880 2013-10-22 08:22:19Z mav $"); #include "opt_ada.h" @@ -1253,7 +1253,7 @@ maxio = min(maxio, 256 * softc->params.secsize); softc->disk->d_maxsize = maxio; softc->disk->d_unit = periph->unit_number; - softc->disk->d_flags = 0; + softc->disk->d_flags = DISKFLAG_DIRECT_COMPLETION; if (softc->flags & ADA_FLAG_CAN_FLUSHCACHE) softc->disk->d_flags |= DISKFLAG_CANFLUSHCACHE; if (softc->flags & ADA_FLAG_CAN_TRIM) { ==== //depot/projects/ctsrd/beribsd/src/sys/cam/cam_periph.c#9 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/cam/cam_periph.c 256843 2013-10-21 12:00:26Z mav $"); +__FBSDID("$FreeBSD: head/sys/cam/cam_periph.c 256895 2013-10-22 13:56:30Z mav $"); #include #include @@ -1768,9 +1768,11 @@ scan_ccb->ccb_h.func_code = XPT_SCAN_TGT; scan_ccb->crcn.flags = 0; xpt_rescan(scan_ccb); - } else + } else { xpt_print(newpath, "Can't allocate CCB to rescan target\n"); + xpt_free_path(newpath); + } } } ==== //depot/projects/ctsrd/beribsd/src/sys/cam/cam_xpt.c#10 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/cam/cam_xpt.c 256843 2013-10-21 12:00:26Z mav $"); +__FBSDID("$FreeBSD: head/sys/cam/cam_xpt.c 256888 2013-10-22 12:58:22Z mav $"); #include #include @@ -3163,10 +3163,11 @@ ccb = xpt_get_ccb(periph); goto restart; } - if (periph->flags & CAM_PERIPH_RUN_TASK) { + if (periph->flags & CAM_PERIPH_RUN_TASK) break; - } - cam_periph_acquire(periph); + xpt_lock_buses(); + periph->refcount++; /* Unconditionally acquire */ + xpt_unlock_buses(); periph->flags |= CAM_PERIPH_RUN_TASK; taskqueue_enqueue(xsoftc.xpt_taskq, &periph->periph_run_task); ==== //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_da.c#12 (text+ko) ==== @@ -27,7 +27,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/cam/scsi/scsi_da.c 256843 2013-10-21 12:00:26Z mav $"); +__FBSDID("$FreeBSD: head/sys/cam/scsi/scsi_da.c 256880 2013-10-22 08:22:19Z mav $"); #include @@ -2125,7 +2125,7 @@ else softc->disk->d_maxsize = cpi.maxio; softc->disk->d_unit = periph->unit_number; - softc->disk->d_flags = 0; + softc->disk->d_flags = DISKFLAG_DIRECT_COMPLETION; if ((softc->quirks & DA_Q_NO_SYNC_CACHE) == 0) softc->disk->d_flags |= DISKFLAG_CANFLUSHCACHE; if ((cpi.hba_misc & PIM_UNMAPPED) != 0) ==== //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/arc.c#9 (text+ko) ==== @@ -5147,7 +5147,7 @@ len = l2hdr->b_asize; cdata = zio_data_buf_alloc(len); csize = zio_compress_data(ZIO_COMPRESS_LZ4, l2hdr->b_tmp_cdata, - cdata, l2hdr->b_asize, (size_t)SPA_MINBLOCKSIZE); + cdata, l2hdr->b_asize, (size_t)(1ULL << l2hdr->b_dev->l2ad_vdev->vdev_ashift)); if (csize == 0) { /* zero block, indicate that there's nothing to write */ ==== //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_geom.c#6 (text+ko) ==== @@ -147,6 +147,7 @@ ZFS_LOG(1, "Used existing consumer for %s.", pp->name); } } + cp->flags |= G_CF_DIRECT_SEND | G_CF_DIRECT_RECEIVE; return (cp); } ==== //depot/projects/ctsrd/beribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zvol.c#8 (text+ko) ==== @@ -2153,6 +2153,7 @@ gp->start = zvol_geom_start; gp->access = zvol_geom_access; pp = g_new_providerf(gp, "%s/%s", ZVOL_DRIVER, name); + pp->flags |= G_PF_DIRECT_RECEIVE | G_PF_DIRECT_SEND; pp->sectorsize = DEV_BSIZE; zv = kmem_zalloc(sizeof(*zv), KM_SLEEP); @@ -2256,18 +2257,20 @@ zvol_state_t *zv; boolean_t first; + zv = bp->bio_to->private; + ASSERT(zv != NULL); switch (bp->bio_cmd) { + case BIO_FLUSH: + if (!THREAD_CAN_SLEEP()) + goto enqueue; + zil_commit(zv->zv_zilog, ZVOL_OBJ); + g_io_deliver(bp, 0); + break; case BIO_READ: case BIO_WRITE: - case BIO_FLUSH: - zv = bp->bio_to->private; - ASSERT(zv != NULL); - mtx_lock(&zv->zv_queue_mtx); - first = (bioq_first(&zv->zv_queue) == NULL); - bioq_insert_tail(&zv->zv_queue, bp); - mtx_unlock(&zv->zv_queue_mtx); - if (first) - wakeup_one(&zv->zv_queue); + if (!THREAD_CAN_SLEEP()) + goto enqueue; + zvol_strategy(bp); break; case BIO_GETATTR: case BIO_DELETE: @@ -2275,6 +2278,15 @@ g_io_deliver(bp, EOPNOTSUPP); break; } + return; + +enqueue: + mtx_lock(&zv->zv_queue_mtx); + first = (bioq_first(&zv->zv_queue) == NULL); + bioq_insert_tail(&zv->zv_queue, bp); + mtx_unlock(&zv->zv_queue_mtx); + if (first) + wakeup_one(&zv->zv_queue); } static void @@ -2449,6 +2461,7 @@ g_wither_provider(pp, ENXIO); pp = g_new_providerf(gp, "%s/%s", ZVOL_DRIVER, newname); + pp->flags |= G_PF_DIRECT_RECEIVE | G_PF_DIRECT_SEND; pp->sectorsize = DEV_BSIZE; pp->mediasize = zv->zv_volsize; pp->private = zv; ==== //depot/projects/ctsrd/beribsd/src/sys/conf/files#24 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/conf/files 256861 2013-10-21 21:13:01Z brooks $ +# $FreeBSD: head/sys/conf/files 256865 2013-10-21 22:43:38Z brooks $ # # The long compile-with and dependency lines are required because of # limitations in config: backslash-newline doesn't work in strings, and @@ -1541,9 +1541,6 @@ dev/iscsi_initiator/isc_soc.c optional iscsi_initiator scbus dev/iscsi_initiator/isc_sm.c optional iscsi_initiator scbus dev/iscsi_initiator/isc_subr.c optional iscsi_initiator scbus -dev/isf/isf.c optional isf -dev/isf/isf_fdt.c optional isf fdt -dev/isf/isf_nexus.c optional isf dev/isp/isp.c optional isp dev/isp/isp_freebsd.c optional isp dev/isp/isp_library.c optional isp ==== //depot/projects/ctsrd/beribsd/src/sys/conf/files.powerpc#12 (text+ko) ==== @@ -1,7 +1,7 @@ # This file tells config what files go into building a kernel, # files marked standard are always included. # -# $FreeBSD: head/sys/conf/files.powerpc 256799 2013-10-20 18:40:55Z nwhitehorn $ +# $FreeBSD: head/sys/conf/files.powerpc 256898 2013-10-22 14:07:57Z nwhitehorn $ # # The long compile-with and dependency lines are required because of # limitations in config: backslash-newline doesn't work in strings, and @@ -124,7 +124,6 @@ powerpc/mambo/mambo.c optional mambo powerpc/mambo/mambo_console.c optional mambo powerpc/mambo/mambo_disk.c optional mambo -powerpc/mambo/mambo_openpic.c optional mambo powerpc/mpc85xx/atpic.c optional mpc85xx isa powerpc/mpc85xx/ds1553_bus_fdt.c optional ds1553 fdt powerpc/mpc85xx/ds1553_core.c optional ds1553 @@ -143,6 +142,7 @@ powerpc/ofw/ofwcall32.S optional aim powerpc powerpc/ofw/ofwcall64.S optional aim powerpc64 powerpc/ofw/ofwmagic.S optional aim +powerpc/ofw/openpic_ofw.c optional aim | fdt powerpc/ofw/rtas.c optional aim powerpc/powermac/ata_kauai.c optional powermac ata | powermac atamacio powerpc/powermac/ata_macio.c optional powermac ata | powermac atamacio @@ -158,7 +158,6 @@ powerpc/powermac/macgpio.c optional powermac pci powerpc/powermac/macio.c optional powermac pci powerpc/powermac/nvbl.c optional powermac nvbl -powerpc/powermac/openpic_macio.c optional powermac pci powerpc/powermac/platform_powermac.c optional powermac powerpc/powermac/powermac_thermal.c optional powermac powerpc/powermac/pswitch.c optional powermac pswitch @@ -196,7 +195,6 @@ powerpc/powerpc/mp_machdep.c optional smp powerpc/powerpc/nexus.c standard powerpc/powerpc/openpic.c standard -powerpc/powerpc/openpic_fdt.c optional fdt powerpc/powerpc/pic_if.m standard powerpc/powerpc/pmap_dispatch.c standard powerpc/powerpc/platform.c standard ==== //depot/projects/ctsrd/beribsd/src/sys/dev/aha/aha.c#4 (text+ko) ==== @@ -58,7 +58,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/aha/aha.c 246713 2013-02-12 16:57:20Z kib $"); +__FBSDID("$FreeBSD: head/sys/dev/aha/aha.c 256887 2013-10-22 12:42:49Z mav $"); #include #include @@ -1168,8 +1168,10 @@ cam_sim_path(aha->sim), accb->hccb.target, CAM_LUN_WILDCARD); - if (error == CAM_REQ_CMP) + if (error == CAM_REQ_CMP) { xpt_async(AC_SENT_BDR, path, NULL); + xpt_free_path(path); + } ccb_h = LIST_FIRST(&aha->pending_ccbs); while (ccb_h != NULL) { ==== //depot/projects/ctsrd/beribsd/src/sys/dev/buslogic/bt.c#5 (text+ko) ==== @@ -32,7 +32,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/buslogic/bt.c 246713 2013-02-12 16:57:20Z kib $"); +__FBSDID("$FreeBSD: head/sys/dev/buslogic/bt.c 256893 2013-10-22 13:52:20Z mav $"); /* * Special thanks to Leonard N. Zubkoff for writing such a complete and @@ -1586,8 +1586,10 @@ bccb->hccb.target_id, CAM_LUN_WILDCARD); - if (error == CAM_REQ_CMP) + if (error == CAM_REQ_CMP) { xpt_async(AC_SENT_BDR, path, NULL); + xpt_free_path(path); + } ccb_h = LIST_FIRST(&bt->pending_ccbs); while (ccb_h != NULL) { ==== //depot/projects/ctsrd/beribsd/src/sys/dev/cfi/cfi_bus_nexus.c#6 (text+ko) ==== @@ -31,7 +31,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/cfi/cfi_bus_nexus.c 255207 2013-09-04 17:19:21Z brooks $"); +__FBSDID("$FreeBSD: head/sys/dev/cfi/cfi_bus_nexus.c 256900 2013-10-22 14:10:00Z nwhitehorn $"); #include #include @@ -50,14 +50,25 @@ static int cfi_nexus_probe(device_t dev) { + return (BUS_PROBE_NOWILDCARD); +} + +static int +cfi_nexus_attach(device_t dev) +{ + int error; - return cfi_probe(dev); + error = cfi_probe(dev); + if (error != 0) + return (error); + + return cfi_attach(dev); } static device_method_t cfi_nexus_methods[] = { /* device interface */ DEVMETHOD(device_probe, cfi_nexus_probe), - DEVMETHOD(device_attach, cfi_attach), + DEVMETHOD(device_attach, cfi_nexus_attach), DEVMETHOD(device_detach, cfi_detach), {0, 0} ==== //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_common.c#10 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_common.c 256861 2013-10-21 21:13:01Z brooks $"); +__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_common.c 256898 2013-10-22 14:07:57Z nwhitehorn $"); #include #include @@ -478,21 +478,31 @@ int *trig, int *pol) { fdt_pic_decode_t intr_decode; + phandle_t intr_offset; int i, rv; + intr_offset = OF_xref_phandle(intr_parent); + for (i = 0; fdt_pic_table[i] != NULL; i++) { /* XXX check if pic_handle has interrupt-controller prop? */ intr_decode = fdt_pic_table[i]; - rv = intr_decode(intr_parent, intr, interrupt, trig, pol); + rv = intr_decode(intr_offset, intr, interrupt, trig, pol); - if (rv == 0) + if (rv == 0) { /* This was recognized as our PIC and decoded. */ + *interrupt = FDT_MAP_IRQ(intr_parent, *interrupt); return (0); + } } - return (ENXIO); + /* Not in table, so guess */ + *interrupt = FDT_MAP_IRQ(intr_parent, fdt32_to_cpu(*intr)); + *trig = INTR_TRIGGER_CONFORM; + *pol = INTR_POLARITY_CONFORM; + + return (0); } int @@ -500,7 +510,7 @@ struct fdt_sense_level *intr_sl) { phandle_t intr_par; - ihandle_t iph; + phandle_t iph; pcell_t *intr; pcell_t intr_cells; int interrupt, trig, pol; @@ -517,8 +527,7 @@ debugf("no intr-parent phandle\n"); intr_par = OF_parent(node); } else { - iph = fdt32_to_cpu(iph); - intr_par = OF_instance_to_package(iph); + intr_par = OF_xref_phandle(iph); } if (OF_getprop(intr_par, "#interrupt-cells", &intr_cells, @@ -540,7 +549,7 @@ interrupt = -1; trig = pol = 0; - if (fdt_intr_decode(intr_par, &intr[i * intr_cells], + if (fdt_intr_decode(iph, &intr[i * intr_cells], &interrupt, &trig, &pol) != 0) { rv = ENXIO; goto out; @@ -557,7 +566,7 @@ intr_sl[i].trig = trig; intr_sl[i].pol = pol; - irq = FDT_MAP_IRQ(intr_par, interrupt); + irq = FDT_MAP_IRQ(iph, interrupt); resource_list_add(rl, SYS_RES_IRQ, i, irq, irq, 1); } @@ -570,7 +579,6 @@ fdt_get_phyaddr(phandle_t node, device_t dev, int *phy_addr, void **phy_sc) { phandle_t phy_node; - ihandle_t phy_ihandle; pcell_t phy_handle, phy_reg; uint32_t i; device_t parent, child; @@ -579,9 +587,7 @@ sizeof(phy_handle)) <= 0) return (ENXIO); - phy_ihandle = (ihandle_t)phy_handle; - phy_ihandle = fdt32_to_cpu(phy_ihandle); - phy_node = OF_instance_to_package(phy_ihandle); + phy_node = OF_xref_phandle(phy_handle); if (OF_getprop(phy_node, "reg", (void *)&phy_reg, sizeof(phy_reg)) <= 0) ==== //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_mips.c#6 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_mips.c 245335 2013-01-12 16:09:33Z rwatson $"); +__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_mips.c 256911 2013-10-22 15:29:59Z brooks $"); #include #include ==== //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_pci.c#5 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_pci.c 240487 2012-09-14 09:45:13Z gber $"); +__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_pci.c 256898 2013-10-22 14:07:57Z nwhitehorn $"); #include #include @@ -317,7 +317,7 @@ trig, pol); #if defined(__powerpc__) - powerpc_config_intr(FDT_MAP_IRQ(intr_par, *interrupt), trig, + powerpc_config_intr(FDT_MAP_IRQ(iph, *interrupt), trig, pol); #endif return (0); ==== //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_powerpc.c#3 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_powerpc.c 228201 2011-12-02 15:24:39Z jchandra $"); +__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_powerpc.c 256898 2013-10-22 14:07:57Z nwhitehorn $"); #include #include @@ -123,7 +123,8 @@ int *trig, int *pol) { - if (!fdt_is_compatible(node, "chrp,open-pic")) + if (!fdt_is_compatible(node, "chrp,open-pic") && + !fdt_is_type(node, "open-pic")) return (ENXIO); /* ==== //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdtbus.c#7 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/fdt/fdtbus.c 256798 2013-10-20 18:38:19Z nwhitehorn $"); +__FBSDID("$FreeBSD: head/sys/dev/fdt/fdtbus.c 256899 2013-10-22 14:08:57Z nwhitehorn $"); #include #include @@ -158,9 +158,7 @@ debugf("%s(dev=%p); pass=%u\n", __func__, dev, bus_current_pass); - device_set_desc(dev, "FDT main bus"); - if (!bootverbose) - device_quiet(dev); + device_set_desc(dev, "Flattened Device Tree"); return (BUS_PROBE_NOWILDCARD); } @@ -182,7 +180,7 @@ * IRQ rman. */ start = 0; - end = FDT_INTR_MAX - 1; + end = ~0; sc->sc_irq.rm_start = start; sc->sc_irq.rm_end = end; sc->sc_irq.rm_type = RMAN_ARRAY; ==== //depot/projects/ctsrd/beribsd/src/sys/dev/md/md.c#7 (text+ko) ==== @@ -6,7 +6,7 @@ * this stuff is worth it, you can buy me a beer in return. Poul-Henning Kamp * ---------------------------------------------------------------------------- * - * $FreeBSD: head/sys/dev/md/md.c 255080 2013-08-30 20:12:23Z kib $ + * $FreeBSD: head/sys/dev/md/md.c 256880 2013-10-22 08:22:19Z mav $ * */ @@ -189,6 +189,7 @@ LIST_ENTRY(md_s) list; struct bio_queue_head bio_queue; struct mtx queue_mtx; + struct mtx stat_mtx; struct cdev *dev; enum md_types type; off_t mediasize; @@ -415,8 +416,11 @@ struct md_s *sc; sc = bp->bio_to->geom->softc; - if ((bp->bio_cmd == BIO_READ) || (bp->bio_cmd == BIO_WRITE)) + if ((bp->bio_cmd == BIO_READ) || (bp->bio_cmd == BIO_WRITE)) { + mtx_lock(&sc->stat_mtx); devstat_start_transaction_bio(sc->devstat, bp); + mtx_unlock(&sc->stat_mtx); + } mtx_lock(&sc->queue_mtx); bioq_disksort(&sc->bio_queue, bp); mtx_unlock(&sc->queue_mtx); @@ -987,6 +991,7 @@ sc->type = type; bioq_init(&sc->bio_queue); mtx_init(&sc->queue_mtx, "md bio queue", NULL, MTX_DEF); + mtx_init(&sc->stat_mtx, "md stat", NULL, MTX_DEF); sc->unit = unit; sprintf(sc->name, "md%d", unit); LIST_INSERT_HEAD(&md_softc_list, sc, list); @@ -994,6 +999,7 @@ if (error == 0) return (sc); LIST_REMOVE(sc, list); + mtx_destroy(&sc->stat_mtx); >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Tue Oct 22 22:53:23 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 56CE1CF1; Tue, 22 Oct 2013 22:53:23 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 18AA6CEF for ; Tue, 22 Oct 2013 22:53:23 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 059172ED8 for ; Tue, 22 Oct 2013 22:53:23 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9MMrMSI084882 for ; Tue, 22 Oct 2013 22:53:22 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9MMrMpQ084879 for perforce@freebsd.org; Tue, 22 Oct 2013 22:53:22 GMT (envelope-from brooks@freebsd.org) Date: Tue, 22 Oct 2013 22:53:22 GMT Message-Id: <201310222253.r9MMrMpQ084879@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187274 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 22 Oct 2013 22:53:23 -0000 http://p4web.freebsd.org/@@1187274?ac=10 Change 1187274 by brooks@brooks_zenith on 2013/10/22 22:52:31 Move atsectl to tools where it has been committed upstream. Affected files ... .. //depot/projects/ctsrd/beribsd/src/ctsrd/Makefile#17 edit .. //depot/projects/ctsrd/beribsd/src/ctsrd/atsectl/Makefile#2 delete .. //depot/projects/ctsrd/beribsd/src/ctsrd/atsectl/atsectl.c#6 delete .. //depot/projects/ctsrd/beribsd/src/tools/tools/atsectl/Makefile#1 branch .. //depot/projects/ctsrd/beribsd/src/tools/tools/atsectl/atsectl.c#1 branch Differences ... ==== //depot/projects/ctsrd/beribsd/src/ctsrd/Makefile#17 (text+ko) ==== @@ -1,7 +1,6 @@ .include -SUBDIR= atsectl \ - browser \ +SUBDIR= browser \ cheripoint \ cycle_led \ de4ctl \ From owner-p4-projects@FreeBSD.ORG Wed Oct 23 14:40:30 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 7C7575BB; Wed, 23 Oct 2013 14:40:30 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 3EAE25B9 for ; Wed, 23 Oct 2013 14:40:30 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 2B4042492 for ; Wed, 23 Oct 2013 14:40:30 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9NEeUYP025894 for ; Wed, 23 Oct 2013 14:40:30 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9NEeU6L025891 for perforce@freebsd.org; Wed, 23 Oct 2013 14:40:30 GMT (envelope-from brooks@freebsd.org) Date: Wed, 23 Oct 2013 14:40:30 GMT Message-Id: <201310231440.r9NEeU6L025891@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187301 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 23 Oct 2013 14:40:30 -0000 http://p4web.freebsd.org/@@1187301?ac=10 Change 1187301 by brooks@brooks_zenith on 2013/10/23 14:40:10 Loop back the initial commit of 221534 to HEAD. Correct its implementation for mips32. Affected files ... .. //depot/projects/ctsrd/beribsd/src/sys/mips/include/pte.h#5 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/sys/mips/include/pte.h#5 (text+ko) ==== @@ -23,7 +23,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/mips/include/pte.h 239964 2012-09-01 03:46:28Z alc $ + * $FreeBSD: head/sys/mips/include/pte.h 256934 2013-10-22 21:06:27Z brooks $ */ #ifndef _MACHINE_PTE_H_ @@ -79,6 +79,7 @@ #define TLBLO_PFN_MASK 0x3FFFFFFC0ULL #else #define TLBLO_SWBITS_SHIFT (29) +#define TLBLO_SWBITS_CLEAR_SHIFT (3) #define TLBLO_PFN_MASK (0x1FFFFFC0) #endif #define TLBLO_PFN_SHIFT (6) From owner-p4-projects@FreeBSD.ORG Wed Oct 23 14:58:50 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 4E493CC2; Wed, 23 Oct 2013 14:58:50 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 0EA6CCC0 for ; Wed, 23 Oct 2013 14:58:50 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id ED91125E9 for ; Wed, 23 Oct 2013 14:58:49 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9NEwnJ2028003 for ; Wed, 23 Oct 2013 14:58:49 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9NEwn23028000 for perforce@freebsd.org; Wed, 23 Oct 2013 14:58:49 GMT (envelope-from brooks@freebsd.org) Date: Wed, 23 Oct 2013 14:58:49 GMT Message-Id: <201310231458.r9NEwn23028000@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187302 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 23 Oct 2013 14:58:50 -0000 http://p4web.freebsd.org/@@1187302?ac=10 Change 1187302 by brooks@brooks_zenith on 2013/10/23 14:58:19 IFC @ 1187272 Other than needing to re-merge the pte.h fix, and a few more changes to BERI_SIM.hints I've merged everthing that's ready to merge. Affected files ... .. //depot/projects/ctsrd/beribsd/src/Makefile.inc1#17 integrate .. //depot/projects/ctsrd/beribsd/src/include/pthread.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man9/Makefile#10 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man9/getenv.9#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/arm/arm/stack_machdep.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/ixp425/if_npe.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/ixp425/ixp425_mem.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/boot/i386/libi386/Makefile#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/boot/i386/libi386/smbios.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/options.mips#15 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ffec/if_ffec.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/openfirm.c#5 edit .. //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/openfirm.h#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/kern_poll.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_pic.c#11 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/std.beri#8 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_DE4_BASE#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/include/cpufunc.h#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/mips/cache.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/mips/cpu.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/mips/trap.c#12 integrate .. //depot/projects/ctsrd/beribsd/src/sys/netinet/tcp_input.c#10 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/pci_ahci.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/portsnap/portsnap/portsnap.8#6 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/portsnap/portsnap/portsnap.sh#6 integrate Differences ... ==== //depot/projects/ctsrd/beribsd/src/Makefile.inc1#17 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/Makefile.inc1 256915 2013-10-22 15:53:29Z brooks $ +# $FreeBSD: head/Makefile.inc1 256921 2013-10-22 18:36:39Z cperciva $ # # Make command line options: # -DNO_CLEANDIR run ${MAKE} clean, instead of ${MAKE} cleandir @@ -128,8 +128,11 @@ .endif .if !defined(VERSION) -VERSION!= uname -srp -VERSION+= ${OSRELDATE} +REVISION!= make -C ${.CURDIR}/release -V REVISION +BRANCH!= make -C ${.CURDIR}/release -V BRANCH +SRCRELDATE!= awk '/^\#define[[:space:]]*__FreeBSD_version/ { print $$3 }' \ + ${.CURDIR}/sys/sys/param.h +VERSION= FreeBSD ${REVISION}-${BRANCH} ${TARGET_ARCH} ${SRCRELDATE} .endif KNOWN_ARCHES?= amd64 arm armeb/arm armv6/arm i386 i386/pc98 ia64 mips mipsel/mips mips64el/mips mips64/mips mipsn32el/mips mipsn32/mips powerpc powerpc64/powerpc sparc64 ==== //depot/projects/ctsrd/beribsd/src/include/pthread.h#3 (text+ko) ==== @@ -30,7 +30,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/include/pthread.h 217039 2011-01-06 03:30:16Z davidxu $ + * $FreeBSD: head/include/pthread.h 256925 2013-10-22 19:53:52Z tijl $ */ #ifndef _PTHREAD_H_ #define _PTHREAD_H_ @@ -175,6 +175,7 @@ { #define pthread_cleanup_pop(execute) \ + (void)0; \ } \ __pthread_cleanup_pop_imp(execute); \ } ==== //depot/projects/ctsrd/beribsd/src/share/man/man9/Makefile#10 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/share/man/man9/Makefile 256124 2013-10-07 20:30:05Z jhb $ +# $FreeBSD: head/share/man/man9/Makefile 256927 2013-10-22 20:10:38Z jhb $ MAN= accept_filter.9 \ accf_data.9 \ @@ -118,6 +118,7 @@ g_consumer.9 \ g_data.9 \ get_cyclecount.9 \ + getenv.9 \ getnewvnode.9 \ g_event.9 \ g_geom.9 \ @@ -677,6 +678,14 @@ g_consumer.9 g_new_consumer.9 MLINKS+=g_data.9 g_read_data.9 \ g_data.9 g_write_data.9 +MLINKS+=getenv.9 freeenv.9 \ + getenv.9 getenv_int.9 \ + getenv.9 getenv_long.9 \ + getenv.9 getenv_string.9 \ + getenv.9 getenv_quad.9 \ + getenv.9 getenv_uint.9 \ + getenv.9 getenv_ulong.9 \ + getenv.9 testenv.9 MLINKS+=g_event.9 g_cancel_event.9 \ g_event.9 g_post_event.9 \ g_event.9 g_waitfor_event.9 ==== //depot/projects/ctsrd/beribsd/src/sys/arm/arm/stack_machdep.c#4 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/stack_machdep.c 250810 2013-05-19 16:25:09Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/stack_machdep.c 256941 2013-10-22 21:47:34Z cognet $"); #include #include @@ -43,13 +43,16 @@ * APCS where it lays out the stack incorrectly. Because of this we disable * this when building for ARM EABI or when building with clang. */ + +extern vm_offset_t kernel_vm_end; + static void stack_capture(struct stack *st, u_int32_t *frame) { #if !defined(__ARM_EABI__) && !defined(__clang__) vm_offset_t callpc; - while (INKERNEL(frame)) { + while (INKERNEL(frame) && (vm_offset_t)frame < kernel_vm_end) { callpc = frame[FR_SCP]; if (stack_put(st, callpc) == -1) break; ==== //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/ixp425/if_npe.c#4 (text+ko) ==== @@ -23,7 +23,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/if_npe.c 243882 2012-12-05 08:04:20Z glebius $"); +__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/if_npe.c 256943 2013-10-22 21:51:07Z cognet $"); /* * Intel XScale NPE Ethernet driver. @@ -507,7 +507,6 @@ dma->name, error); return error; } - /* XXX COHERENT for now */ if (bus_dmamem_alloc(dma->buf_tag, (void **)&dma->hwbuf, BUS_DMA_NOWAIT | BUS_DMA_ZERO | BUS_DMA_COHERENT, &dma->buf_map) != 0) { @@ -1073,6 +1072,7 @@ m->m_pkthdr.len = m->m_len = 1536; /* backload payload and align ip hdr */ m->m_data = m->m_ext.ext_buf + (m->m_ext.ext_size - (1536+ETHER_ALIGN)); + bus_dmamap_unload(dma->mtag, npe->ix_map); error = bus_dmamap_load_mbuf_sg(dma->mtag, npe->ix_map, m, segs, &nseg, 0); if (error != 0) { @@ -1085,6 +1085,8 @@ /* NB: buffer length is shifted in word */ hw->ix_ne[0].len = htobe32(segs[0].ds_len << 16); hw->ix_ne[0].next = 0; + bus_dmamap_sync(dma->buf_tag, dma->buf_map, + BUS_DMASYNC_PREREAD|BUS_DMASYNC_PREWRITE); npe->ix_m = m; /* Flush the memory in the mbuf */ bus_dmamap_sync(dma->mtag, npe->ix_map, BUS_DMASYNC_PREREAD); @@ -1110,6 +1112,8 @@ struct npebuf *npe = P2V(NPE_QM_Q_ADDR(entry), dma); struct mbuf *m; + bus_dmamap_sync(dma->buf_tag, dma->buf_map, + BUS_DMASYNC_POSTREAD); DPRINTF(sc, "%s: entry 0x%x neaddr 0x%x ne_len 0x%x\n", __func__, entry, npe->ix_neaddr, npe->ix_hw->ix_ne[0].len); /* @@ -1130,7 +1134,6 @@ bus_dmamap_sync(dma->mtag, npe->ix_map, BUS_DMASYNC_POSTREAD); - /* XXX flush hw buffer; works now 'cuz coherent */ /* set m_len etc. per rx frame size */ mrx->m_len = be32toh(hw->ix_ne[0].len) & 0xffff; mrx->m_pkthdr.len = mrx->m_len; @@ -1313,6 +1316,7 @@ return; } npe = sc->tx_free; + bus_dmamap_unload(dma->mtag, npe->ix_map); error = bus_dmamap_load_mbuf_sg(dma->mtag, npe->ix_map, m, segs, &nseg, 0); if (error == EFBIG) { @@ -1355,7 +1359,8 @@ next += sizeof(hw->ix_ne[0]); } hw->ix_ne[i-1].next = 0; /* zero last in chain */ - /* XXX flush descriptor instead of using uncached memory */ + bus_dmamap_sync(dma->buf_tag, dma->buf_map, + BUS_DMASYNC_PREREAD|BUS_DMASYNC_PREWRITE); DPRINTF(sc, "%s: qwrite(%u, 0x%x) ne_data %x ne_len 0x%x\n", __func__, sc->tx_qid, npe->ix_neaddr, ==== //depot/projects/ctsrd/beribsd/src/sys/arm/xscale/ixp425/ixp425_mem.c#3 (text+ko) ==== @@ -36,7 +36,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/ixp425_mem.c 186352 2008-12-20 03:26:09Z sam $"); +__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/ixp425_mem.c 256942 2013-10-22 21:49:58Z cognet $"); #include #include @@ -76,7 +76,7 @@ size = sdram_other[MCU_SDR_CONFIG_MCONF(sdr_config)]; if (size == 0) { - printf("** SDR_CONFIG retuns unknown value, using 32M\n"); + printf("** SDR_CONFIG returns unknown value, using 32M\n"); size = 32 * 1024 * 1024; } ==== //depot/projects/ctsrd/beribsd/src/sys/boot/i386/libi386/Makefile#5 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/boot/i386/libi386/Makefile 239066 2012-08-05 14:37:48Z ae $ +# $FreeBSD: head/sys/boot/i386/libi386/Makefile 256940 2013-10-22 21:32:28Z jkim $ # LIB= i386 INTERNALLIB= @@ -36,6 +36,9 @@ .if defined(BOOT_LITTLE_ENDIAN_UUID) # Use little-endian UUID format as defined in SMBIOS 2.6. CFLAGS+= -DSMBIOS_LITTLE_ENDIAN_UUID +.elif defined(BOOT_NETWORK_ENDIAN_UUID) +# Use network-endian UUID format for backward compatibility. +CFLAGS+= -DSMBIOS_NETWORK_ENDIAN_UUID .endif .endif ==== //depot/projects/ctsrd/beribsd/src/sys/boot/i386/libi386/smbios.c#3 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/boot/i386/libi386/smbios.c 190814 2009-04-07 17:58:15Z jkim $"); +__FBSDID("$FreeBSD: head/sys/boot/i386/libi386/smbios.c 256940 2013-10-22 21:32:28Z jkim $"); #include #include @@ -122,7 +122,7 @@ smbios_setuuid(const char *name, const caddr_t addr, const int ver) { char uuid[37]; - int i, ones, zeros; + int byteorder, i, ones, zeros; UUID_TYPE n; uint32_t f1; uint16_t f2, f3; @@ -152,14 +152,18 @@ * Note: We use network byte order for backward compatibility * unless SMBIOS version is 2.6+ or little-endian is forced. */ -#ifndef SMBIOS_LITTLE_ENDIAN_UUID - if (ver < 0x0206) { +#if defined(SMBIOS_LITTLE_ENDIAN_UUID) + byteorder = LITTLE_ENDIAN; +#elif defined(SMBIOS_NETWORK_ENDIAN_UUID) + byteorder = BIG_ENDIAN; +#else + byteorder = ver < 0x0206 ? BIG_ENDIAN : LITTLE_ENDIAN; +#endif + if (byteorder != LITTLE_ENDIAN) { f1 = ntohl(SMBIOS_GET32(addr, 0)); f2 = ntohs(SMBIOS_GET16(addr, 4)); f3 = ntohs(SMBIOS_GET16(addr, 6)); - } else -#endif - { + } else { f1 = le32toh(SMBIOS_GET32(addr, 0)); f2 = le16toh(SMBIOS_GET16(addr, 4)); f3 = le16toh(SMBIOS_GET16(addr, 6)); ==== //depot/projects/ctsrd/beribsd/src/sys/conf/options.mips#15 (text+ko) ==== @@ -26,7 +26,7 @@ # SUCH DAMAGE. # # JNPR: options.mips,v 1.2 2006/09/15 12:52:34 -# $FreeBSD: head/sys/conf/options.mips 256170 2013-10-09 00:21:21Z adrian $ +# $FreeBSD: head/sys/conf/options.mips 256937 2013-10-22 21:16:57Z brooks $ CPU_MIPS4KC opt_global.h CPU_MIPS24KC opt_global.h @@ -86,7 +86,7 @@ OCTEON_BOARD_CAPK_0100ND opt_cvmx.h # -# Options specific to the BERI and CHERI CPUs. +# Options specific to the BERI platform. # BERI_LARGE_TLB opt_global.h ==== //depot/projects/ctsrd/beribsd/src/sys/dev/ffec/if_ffec.c#2 (text+ko) ==== @@ -26,7 +26,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/ffec/if_ffec.c 256806 2013-10-20 21:07:38Z ian $"); +__FBSDID("$FreeBSD: head/sys/dev/ffec/if_ffec.c 256919 2013-10-22 18:14:06Z ian $"); /* * Driver for Freescale Fast Ethernet Controller, found on imx-series SoCs among @@ -883,7 +883,7 @@ * assigned bit set, and the broadcast/multicast bit clear. */ palr = RD4(sc, FEC_PALR_REG); - paur = RD4(sc, FEC_PAUR_REG); + paur = RD4(sc, FEC_PAUR_REG) & FEC_PAUR_PADDR2_MASK; if ((palr | paur) != 0) { hwaddr[0] = palr >> 24; hwaddr[1] = palr >> 16; @@ -891,7 +891,6 @@ hwaddr[3] = palr >> 0; hwaddr[4] = paur >> 24; hwaddr[5] = paur >> 16; - return; } else { rnd = arc4random() & 0x00ffffff; hwaddr[0] = 'b'; ==== //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/openfirm.c#5 (text+ko) ==== @@ -56,7 +56,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/ofw/openfirm.c 255596 2013-09-15 14:19:17Z nwhitehorn $"); +__FBSDID("$FreeBSD: head/sys/dev/ofw/openfirm.c 256938 2013-10-22 21:20:05Z nwhitehorn $"); #include "opt_platform.h" @@ -64,6 +64,7 @@ #include #include #include +#include #include @@ -280,6 +281,21 @@ return (OFW_GETPROP(ofw_obj, package, propname, buf, buflen)); } +ssize_t +OF_getencprop(phandle_t node, const char *propname, pcell_t *buf, size_t len) +{ + ssize_t retval; + int i; + + KASSERT(len % 4 == 0, ("Need a multiple of 4 bytes")); + + retval = OF_getprop(node, propname, buf, len); + for (i = 0; i < len/4; i++) + buf[i] = be32toh(buf[i]); + + return (retval); +} + /* * Recursively search the node and its parent for the given property, working * downward from the node to the device tree root. Returns the value of the @@ -296,6 +312,17 @@ return (-1); } +ssize_t +OF_searchencprop(phandle_t node, const char *propname, void *buf, size_t len) +{ + ssize_t rv; + + for (; node != 0; node = OF_parent(node)) + if ((rv = OF_getencprop(node, propname, buf, len)) != -1) + return (rv); + return (-1); +} + /* * Store the value of a property of a package into newly allocated memory * (using the M_OFWPROP malloc pool and M_WAITOK). elsz is the size of a @@ -320,6 +347,26 @@ return (len / elsz); } +ssize_t +OF_getencprop_alloc(phandle_t package, const char *name, int elsz, void **buf) +{ + ssize_t retval; + pcell_t *cell; + int i; + + KASSERT(elsz % 4 == 0, ("Need a multiple of 4 bytes")); + + retval = OF_getprop_alloc(package, name, elsz, buf); + if (retval == -1) + return (retval); + + cell = *buf; + for (i = 0; i < retval*elsz/4; i++) + cell[i] = be32toh(cell[i]); + + return (retval); +} + /* Get the next property of a package. */ int OF_nextprop(phandle_t package, const char *previous, char *buf, size_t size) ==== //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/openfirm.h#5 (text+ko) ==== @@ -54,7 +54,7 @@ * OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF * ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * - * $FreeBSD: head/sys/dev/ofw/openfirm.h 255596 2013-09-15 14:19:17Z nwhitehorn $ + * $FreeBSD: head/sys/dev/ofw/openfirm.h 256938 2013-10-22 21:20:05Z nwhitehorn $ */ #ifndef _DEV_OPENFIRM_H_ @@ -105,11 +105,17 @@ ssize_t OF_getproplen(phandle_t node, const char *propname); ssize_t OF_getprop(phandle_t node, const char *propname, void *buf, size_t len); +ssize_t OF_getencprop(phandle_t node, const char *prop, pcell_t *buf, + size_t len); /* Same as getprop, but maintains endianness */ int OF_hasprop(phandle_t node, const char *propname); ssize_t OF_searchprop(phandle_t node, const char *propname, void *buf, size_t len); +ssize_t OF_searchencprop(phandle_t node, const char *propname, + void *buf, size_t len); ssize_t OF_getprop_alloc(phandle_t node, const char *propname, int elsz, void **buf); +ssize_t OF_getencprop_alloc(phandle_t node, const char *propname, + int elsz, void **buf); int OF_nextprop(phandle_t node, const char *propname, char *buf, size_t len); int OF_setprop(phandle_t node, const char *name, const void *buf, ==== //depot/projects/ctsrd/beribsd/src/sys/kern/kern_poll.c#6 (text+ko) ==== @@ -26,7 +26,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/kern/kern_poll.c 254031 2013-08-07 07:22:56Z kevlo $"); +__FBSDID("$FreeBSD: head/sys/kern/kern_poll.c 256945 2013-10-22 22:03:01Z brooks $"); #include "opt_device_polling.h" ==== //depot/projects/ctsrd/beribsd/src/sys/mips/beri/beri_pic.c#11 (text+ko) ==== @@ -29,7 +29,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/mips/beri/beri_pic.c 256911 2013-10-22 15:29:59Z brooks $"); +__FBSDID("$FreeBSD: head/sys/mips/beri/beri_pic.c 256936 2013-10-22 21:13:02Z brooks $"); #include #include ==== //depot/projects/ctsrd/beribsd/src/sys/mips/beri/std.beri#8 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/mips/beri/std.beri 239671 2012-08-25 08:31:21Z rwatson $ +# $FreeBSD: head/sys/mips/beri/std.beri 256935 2013-10-22 21:08:25Z brooks $ files "../beri/files.beri" cpu CPU_MIPS4KC ==== //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_DE4_BASE#9 (text+ko) ==== @@ -4,7 +4,7 @@ # configuration. This kernel configration must be further specialized to # to include a root filesystem specification. # -# $FreeBSD: head/sys/mips/conf/BERI_DE4_BASE 256912 2013-10-22 15:45:31Z brooks $ +# $FreeBSD: head/sys/mips/conf/BERI_DE4_BASE 256931 2013-10-22 20:50:41Z brooks $ # include "BERI_TEMPLATE" ==== //depot/projects/ctsrd/beribsd/src/sys/mips/include/cpufunc.h#9 (text+ko) ==== @@ -53,7 +53,7 @@ * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * * JNPR: cpufunc.h,v 1.5 2007/08/09 11:23:32 katta - * $FreeBSD: head/sys/mips/include/cpufunc.h 249776 2013-04-22 19:02:37Z brooks $ + * $FreeBSD: head/sys/mips/include/cpufunc.h 256935 2013-10-22 21:08:25Z brooks $ */ #ifndef _MACHINE_CPUFUNC_H_ ==== //depot/projects/ctsrd/beribsd/src/sys/mips/mips/cache.c#5 (text+ko) ==== @@ -68,7 +68,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/mips/mips/cache.c 232896 2012-03-12 21:25:32Z jmallett $"); +__FBSDID("$FreeBSD: head/sys/mips/mips/cache.c 256937 2013-10-22 21:16:57Z brooks $"); #include #include ==== //depot/projects/ctsrd/beribsd/src/sys/mips/mips/cpu.c#6 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/mips/mips/cpu.c 232896 2012-03-12 21:25:32Z jmallett $"); +__FBSDID("$FreeBSD: head/sys/mips/mips/cpu.c 256937 2013-10-22 21:16:57Z brooks $"); #include #include ==== //depot/projects/ctsrd/beribsd/src/sys/mips/mips/trap.c#12 (text+ko) ==== @@ -39,7 +39,7 @@ * JNPR: trap.c,v 1.13.2.2 2007/08/29 10:03:49 girish */ #include -__FBSDID("$FreeBSD: head/sys/mips/mips/trap.c 250138 2013-05-01 06:57:46Z imp $"); +__FBSDID("$FreeBSD: head/sys/mips/mips/trap.c 256939 2013-10-22 21:27:22Z brooks $"); #include "opt_compat.h" #include "opt_ddb.h" ==== //depot/projects/ctsrd/beribsd/src/sys/netinet/tcp_input.c#10 (text+ko) ==== @@ -48,7 +48,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/netinet/tcp_input.c 256186 2013-10-09 12:00:38Z glebius $"); +__FBSDID("$FreeBSD: head/sys/netinet/tcp_input.c 256920 2013-10-22 18:24:34Z andre $"); #include "opt_ipfw.h" /* for ipfw_fwd */ #include "opt_inet.h" @@ -508,10 +508,13 @@ * the ack that opens up a 0-sized window and * - delayed acks are enabled or * - this is a half-synchronized T/TCP connection. + * - the segment size is not larger than the MSS and LRO wasn't used + * for this segment. */ -#define DELAY_ACK(tp) \ +#define DELAY_ACK(tp, tlen) \ ((!tcp_timer_active(tp, TT_DELACK) && \ (tp->t_flags & TF_RXWIN0SENT) == 0) && \ + (tlen <= tp->t_maxopd) && \ (V_tcp_delack_enabled || (tp->t_flags & TF_NEEDSYN))) /* @@ -1863,7 +1866,7 @@ } /* NB: sorwakeup_locked() does an implicit unlock. */ sorwakeup_locked(so); - if (DELAY_ACK(tp)) { + if (DELAY_ACK(tp, tlen)) { tp->t_flags |= TF_DELACK; } else { tp->t_flags |= TF_ACKNOW; @@ -1954,7 +1957,7 @@ * If there's data, delay ACK; if there's also a FIN * ACKNOW will be turned on later. */ - if (DELAY_ACK(tp) && tlen != 0) + if (DELAY_ACK(tp, tlen) && tlen != 0) tcp_timer_activate(tp, TT_DELACK, tcp_delacktime); else @@ -2926,7 +2929,7 @@ if (th->th_seq == tp->rcv_nxt && LIST_EMPTY(&tp->t_segq) && TCPS_HAVEESTABLISHED(tp->t_state)) { - if (DELAY_ACK(tp)) + if (DELAY_ACK(tp, tlen)) tp->t_flags |= TF_DELACK; else tp->t_flags |= TF_ACKNOW; ==== //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/pci_ahci.c#3 (text+ko) ==== @@ -23,11 +23,11 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/usr.sbin/bhyve/pci_ahci.c 256709 2013-10-17 21:56:39Z grehan $ + * $FreeBSD: head/usr.sbin/bhyve/pci_ahci.c 256926 2013-10-22 19:55:04Z grehan $ */ #include -__FBSDID("$FreeBSD: head/usr.sbin/bhyve/pci_ahci.c 256709 2013-10-17 21:56:39Z grehan $"); +__FBSDID("$FreeBSD: head/usr.sbin/bhyve/pci_ahci.c 256926 2013-10-22 19:55:04Z grehan $"); #include #include @@ -663,8 +663,7 @@ uint8_t buf[8]; uint64_t sectors; - sectors = blockif_size(p->bctx) / blockif_sectsz(p->bctx); - sectors >>= 2; + sectors = blockif_size(p->bctx) / 2048; be32enc(buf, sectors - 1); be32enc(buf + 4, 2048); cfis[4] = (cfis[4] & ~7) | ATA_I_CMD | ATA_I_IN; @@ -908,9 +907,9 @@ /* * Build up the iovec based on the prdt */ - for (i = 0; i < hdr->prdtl; i++) { + for (i = 0; i < iovcnt; i++) { breq->br_iov[i].iov_base = paddr_guest2host(ahci_ctx(sc), - prdt->dba, prdt->dbc + 1); + prdt->dba, prdt->dbc + 1); breq->br_iov[i].iov_len = prdt->dbc + 1; aior->done += (prdt->dbc + 1); prdt++; ==== //depot/projects/ctsrd/beribsd/src/usr.sbin/portsnap/portsnap/portsnap.8#6 (text+ko) ==== @@ -23,9 +23,9 @@ .\" IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE .\" POSSIBILITY OF SUCH DAMAGE. .\" -.\" $FreeBSD: head/usr.sbin/portsnap/portsnap/portsnap.8 250604 2013-05-13 18:13:50Z joel $ +.\" $FreeBSD: head/usr.sbin/portsnap/portsnap/portsnap.8 256923 2013-10-22 18:55:52Z gavin $ .\" -.Dd October 14, 2012 +.Dd October 22, 2013 .Dt PORTSNAP 8 .Os FreeBSD .Sh NAME @@ -161,6 +161,18 @@ commands. Again, note that in the parts of the ports tree which are being updated, any local changes or additions will be removed. +.It auto +Run +.Cm fetch +or +.Cm cron +depending on whether stdin is a terminal; then run +.Cm update +or +.Cm extract +depending on whether +.Ar portsdir +exists. .El .Sh TIPS .Bl -bullet ==== //depot/projects/ctsrd/beribsd/src/usr.sbin/portsnap/portsnap/portsnap.sh#6 (text+ko) ==== @@ -25,7 +25,7 @@ # IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE # POSSIBILITY OF SUCH DAMAGE. -# $FreeBSD: head/usr.sbin/portsnap/portsnap/portsnap.sh 253224 2013-07-11 22:19:18Z cperciva $ +# $FreeBSD: head/usr.sbin/portsnap/portsnap/portsnap.sh 256923 2013-10-22 18:55:52Z gavin $ #### Usage function -- called from command-line handling code. @@ -61,6 +61,8 @@ files and directories. update -- Update ports tree to match current snapshot, replacing files and directories which have changed. + auto -- Fetch updates, and either extract a new ports tree or + update an existing tree. EOF exit 0 } @@ -147,12 +149,15 @@ if [ ! -z "${SERVERNAME}" ]; then usage; fi shift; SERVERNAME="$1" ;; - cron | extract | fetch | update | alfred) + cron | extract | fetch | update | auto) COMMANDS="${COMMANDS} $1" ;; up) COMMANDS="${COMMANDS} update" ;; + alfred) + COMMANDS="${COMMANDS} auto" + ;; *) if [ $# -gt 1 ]; then usage; fi if echo ${COMMANDS} | grep -vq extract; then @@ -1104,10 +1109,10 @@ update_run || exit 1 } -# Alfred command. Run 'fetch' or 'cron' depending on +# Auto command. Run 'fetch' or 'cron' depending on # whether stdin is a terminal; then run 'update' or # 'extract' depending on whether ${PORTSDIR} exists. -cmd_alfred() { +cmd_auto() { if [ "${INTERACTIVE}" = "YES" ]; then cmd_fetch else From owner-p4-projects@FreeBSD.ORG Wed Oct 23 20:57:21 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id DBB0BCFF; Wed, 23 Oct 2013 20:57:20 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 9B770CFD for ; Wed, 23 Oct 2013 20:57:20 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 8452B2FF8 for ; Wed, 23 Oct 2013 20:57:20 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9NKvKg8081710 for ; Wed, 23 Oct 2013 20:57:20 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9NKvJhE081707 for perforce@freebsd.org; Wed, 23 Oct 2013 20:57:19 GMT (envelope-from brooks@freebsd.org) Date: Wed, 23 Oct 2013 20:57:19 GMT Message-Id: <201310232057.r9NKvJhE081707@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187315 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 23 Oct 2013 20:57:21 -0000 http://p4web.freebsd.org/@@1187315?ac=10 Change 1187315 by brooks@brooks_zenith on 2013/10/23 20:56:41 Sync with FreeBSD/BERI Affected files ... .. //depot/projects/ctsrd/cheribsd/src/Makefile.inc1#13 integrate .. //depot/projects/ctsrd/cheribsd/src/ObsoleteFiles.inc#11 integrate .. //depot/projects/ctsrd/cheribsd/src/bin/ps/print.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/bin/sh/jobs.c#10 integrate .. //depot/projects/ctsrd/cheribsd/src/bin/sh/miscbltin.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/opensolaris/lib/libdtrace/common/dt_open.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/lib/libdtrace/psinfo.d#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/config/tc-arm.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/config/tc-mips.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/config/tc-mips.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/dwarf2dbg.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/bsnmp/lib/snmp.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/bsnmp/lib/snmp.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/bsnmp/lib/snmpagent.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/gcclibs/libssp/ssp.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/ChangeLog#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/FREEBSD-diffs#5 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/FREEBSD-upgrade#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/VERSION#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/doc/jemalloc.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/include/jemalloc/internal/arena.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/include/jemalloc/internal/jemalloc_internal.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/include/jemalloc/internal/private_namespace.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/include/jemalloc/internal/tcache.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/include/jemalloc/jemalloc.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/src/arena.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/src/chunk.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/src/ctl.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/jemalloc/src/jemalloc.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/libcxxrt/typeinfo.cc#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/mtree/compare.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/mtree/create.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/mtree/getid.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/mtree/spec.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/CREDITS#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/HISTORY#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/INSTALL#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/LICENSE#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/Makefile.am#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/Makefile.in#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/README#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/RELNOTES#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/TODO#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/aclocal.m4#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/autogen.sh#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/Makefile.am#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/Makefile.in#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/openpam_dump_policy/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/openpam_dump_policy/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/openpam_dump_policy/openpam_dump_policy.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/pamtest/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/pamtest/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/pamtest/pamtest.1#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/pamtest/pamtest.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/su/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/su/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/su/su.1#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/bin/su/su.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/compile#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/config.guess#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/config.h.in#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/config.sub#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/configure#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/configure.ac#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/depcomp#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/Makefile.am#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/Makefile.in#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam.man#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_borrow_cred.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_free_data.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_free_envlist.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_get_feature.3#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_get_option.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_log.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_nullconv.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_readline.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_readlinev.3#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_readword.3#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_restore_cred.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_set_feature.3#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_set_option.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_straddch.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_subst.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/openpam_ttyconv.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam.conf.5#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam.man#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_acct_mgmt.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_authenticate.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_chauthtok.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_close_session.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_conv.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_end.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_error.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_get_authtok.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_get_data.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_get_item.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_get_user.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_getenv.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_getenvlist.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_info.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_open_session.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_prompt.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_putenv.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_set_data.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_set_item.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_setcred.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_setenv.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_sm_acct_mgmt.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_sm_authenticate.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_sm_chauthtok.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_sm_close_session.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_sm_open_session.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_sm_setcred.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_start.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_strerror.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_verror.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_vinfo.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/doc/man/pam_vprompt.3#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/openpam.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/openpam_attr.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/openpam_version.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/pam_appl.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/pam_constants.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/pam_modules.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/include/security/pam_types.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/install-sh#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/Makefile.am#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/Makefile.in#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/Makefile.am#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/Makefile.in#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_asprintf.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_asprintf.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_borrow_cred.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_check_owner_perms.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_configure.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_constants.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_constants.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_cred.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_ctype.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_debug.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_dispatch.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_dlfunc.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_dynamic.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_features.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_features.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_findenv.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_free_data.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_free_envlist.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_get_feature.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_get_option.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_impl.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_load.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_log.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_nullconv.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_readline.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_readlinev.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_readword.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_restore_cred.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_set_feature.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_set_option.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_static.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_straddch.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_strlcat.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_strlcat.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_strlcmp.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_strlcpy.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_strlcpy.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_subst.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_ttyconv.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_vasprintf.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/openpam_vasprintf.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_acct_mgmt.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_authenticate.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_authenticate_secondary.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_chauthtok.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_close_session.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_end.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_error.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_get_authtok.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_get_data.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_get_item.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_get_mapped_authtok.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_get_mapped_username.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_get_user.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_getenv.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_getenvlist.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_info.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_open_session.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_prompt.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_putenv.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_set_data.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_set_item.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_set_mapped_authtok.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_set_mapped_username.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_setcred.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_setenv.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_acct_mgmt.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_authenticate.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_authenticate_secondary.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_chauthtok.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_close_session.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_get_mapped_authtok.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_get_mapped_username.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_open_session.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_set_mapped_authtok.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_set_mapped_username.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_sm_setcred.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_start.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_strerror.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_verror.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_vinfo.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/lib/libpam/pam_vprompt.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/ltmain.sh#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/m4/libtool.m4#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/m4/ltoptions.m4#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/m4/ltsugar.m4#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/m4/ltversion.m4#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/m4/lt~obsolete.m4#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/misc/gendoc.pl#4 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/missing#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/mkpkgng.in#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_deny/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_deny/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_deny/pam_deny.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_permit/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_permit/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_permit/pam_permit.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_unix/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_unix/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/modules/pam_unix/pam_unix.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/pamgdb.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/t/Makefile.am#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/t/Makefile.in#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/t/t.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/t/t_file.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/t/t_main.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/t/t_openpam_readlinev.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/t/t_openpam_readword.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/openpam/test-driver#2 integrate .. //depot/projects/ctsrd/cheribsd/src/ctsrd/Makefile#16 integrate .. //depot/projects/ctsrd/cheribsd/src/ctsrd/atsectl/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/ctsrd/atsectl/atsectl.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/etc/defaults/rc.conf#6 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/login.conf#5 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/mtree/BSD.usr.dist#9 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/netstart#3 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/rc#6 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/rc.d/jail#6 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/rc.d/rtadvd#4 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/rc.d/sendmail#5 integrate .. //depot/projects/ctsrd/cheribsd/src/etc/sendmail/freebsd.mc#4 integrate .. //depot/projects/ctsrd/cheribsd/src/include/pthread.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libc/gen/popen.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libc/gen/syslog.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libc/iconv/citrus_mapper.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libc/sys/getrlimit.2#3 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libcrypt/tests/Makefile#2 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libproc/_libproc.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libutil/login_class.3#3 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libutil/login_class.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libvmmapi/vmmapi.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/lib/libz/zopen.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/release/picobsd/build/picobsd#7 integrate .. //depot/projects/ctsrd/cheribsd/src/release/picobsd/floppy.tree/etc/rc1#3 integrate .. //depot/projects/ctsrd/cheribsd/src/release/picobsd/floppy.tree/etc/ssh/sshd_config#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sbin/gvinum/gvinum.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sbin/ifconfig/ifconfig.8#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sbin/ifconfig/ifgroup.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sbin/iscontrol/fsm.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sbin/route/keywords#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sbin/route/route.8#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sbin/route/route.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/share/examples/bhyve/vmrun.sh#3 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man4/Makefile#11 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man4/ahci.4#4 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man4/altera_atse.4#1 branch .. //depot/projects/ctsrd/cheribsd/src/share/man/man4/isf.4#4 delete .. //depot/projects/ctsrd/cheribsd/src/share/man/man5/rc.conf.5#9 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man8/rc.8#6 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man8/rc.sendmail.8#3 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man9/Makefile#9 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man9/disk.9#4 integrate .. //depot/projects/ctsrd/cheribsd/src/share/man/man9/getenv.9#1 branch .. //depot/projects/ctsrd/cheribsd/src/share/man/man9/rtentry.9#3 integrate .. //depot/projects/ctsrd/cheribsd/src/share/mk/atf.test.mk#3 integrate .. //depot/projects/ctsrd/cheribsd/src/share/mk/bsd.own.mk#12 integrate .. //depot/projects/ctsrd/cheribsd/src/share/mk/bsd.test.mk#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/amd64/amd64/pmap.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/amd64/include/vmm.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/amd64/vmm/intel/vmx.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/amd64/vmm/intel/vmx.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/amd64/vmm/intel/vmx_controls.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/amd64/vmm/vmm_dev.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/amd64/vmm/x86.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/allwinner/a10_wdog.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/busdma_machdep-v6.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/cpufunc.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/cpufunc_asm_armv7.S#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/fusu.S#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/identcpu.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/locore.S#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/machdep.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/pl310.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/pmap-v6.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/arm/stack_machdep.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/broadcom/bcm2835/bcm2835_wdog.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/broadcom/bcm2835/dwc_otg_brcm.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/sys/arm/broadcom/bcm2835/files.bcm2835#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/conf/IMX53-QSB#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/arm/freescale/imx/files.imx53#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/freescale/imx/imx51_ccm.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/include/armreg.h#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/include/pmap.h#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/mv/common.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/mv/mvreg.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/xscale/i80321/ep80219_machdep.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/xscale/i80321/iq31244_machdep.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/xscale/i8134x/crb_machdep.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/xscale/ixp425/avila_machdep.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/xscale/ixp425/if_npe.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/xscale/ixp425/ixp425_mem.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/arm/xscale/pxa/pxa_machdep.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/boot/fdt/dts/beri-sim.dts#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/boot/fdt/dts/beripad-de4.dts#15 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/boot/fdt/dts/digi-ccwmx53.dts#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/boot/fdt/dts/imx53-qsb.dts#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/boot/i386/libi386/Makefile#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/boot/i386/libi386/smbios.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/ata/ata_all.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/ata/ata_all.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/ata/ata_da.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/ata/ata_pmp.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/ata/ata_xpt.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_ccb.h#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_periph.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_periph.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_queue.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_queue.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_sim.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_sim.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_xpt.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_xpt.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_xpt_internal.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_xpt_periph.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/cam_xpt_sim.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/ctl/ctl_frontend_cam_sim.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/ctl/scsi_ctl.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_all.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_all.h#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_cd.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_ch.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_da.c#10 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_enc.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_enc_internal.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_enc_safte.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_enc_ses.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_pass.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_pt.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_sa.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_sg.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_targ_bh.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_target.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cam/scsi/scsi_xpt.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/arc.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_geom.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zvol.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/contrib/opensolaris/uts/common/sys/dtrace.h#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/contrib/opensolaris/uts/intel/dtrace/fasttrap_isa.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/dev/dtrace/amd64/dtrace_isa.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/cddl/dev/dtrace/dtrace_sysctl.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/conf/files#14 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/conf/files.powerpc#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/conf/options#11 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/conf/options.mips#13 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/contrib/dev/run/rt2870.fw.uu#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/aha/aha.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ahci/ahci.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ahci/ahci.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/aic7xxx/aic79xx.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/aic7xxx/aic7xxx.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/altera/atse/a_api.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/altera/atse/if_atse.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/altera/atse/if_atse_fdt.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/altera/atse/if_atse_nexus.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/altera/atse/if_atsereg.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/altera/sdcard/altera_sdcard.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/altera/sdcard/altera_sdcard_io.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ata/ata-all.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ath/if_ath.c#11 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ath/if_ath_tx.c#11 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/buslogic/bt.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cfi/cfi_bus_nexus.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cfi/cfi_core.c#10 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/cm.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/cq.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/device.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/ev.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/id_table.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/mem.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/provider.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/qp.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/resource.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/t4.h#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/cxgbe/iw_cxgbe/user.h#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/drm2/i915/i915_drv.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/drm2/radeon/radeon_drv.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/etherswitch/arswitch/arswitch.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/etherswitch/arswitch/arswitch_9340.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/etherswitch/arswitch/arswitch_9340.h#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/etherswitch/arswitch/arswitch_vlans.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/etherswitch/arswitch/arswitchvar.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/fdt_common.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/fdt_common.h#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/fdt_ic_if.m#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/fdt_mips.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/fdt_pci.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/fdt_powerpc.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/fdtbus.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/fdt/simplebus.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ffec/if_ffec.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ffec/if_ffecreg.h#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/firewire/sbp_targ.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isf/isf.c#6 delete .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isf/isf.h#5 delete .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isf/isf_fdt.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isf/isf_nexus.c#6 delete .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isp/isp.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isp/isp_freebsd.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isp/isp_freebsd.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/isp/ispvar.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/md/md.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/mpt/mpt_cam.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/mvs/mvs.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ofw/ofw_bus_subr.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ofw/ofw_fdt.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ofw/openfirm.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/ofw/openfirm.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/random/ivy.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/re/if_re.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/siis/siis.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/uart/uart_dev_pl011.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/controller/dwc_otg_fdt.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/controller/musb_otg.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/controller/musb_otg.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/controller/xhci.c#11 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/serial/uslcom.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/usbdevs#12 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/wlan/if_run.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/usb/wlan/if_runreg.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/dev/xen/netback/netback.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/concat/g_concat.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/concat/g_concat.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/gate/g_gate.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_dev.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_disk.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_disk.h#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_int.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_io.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_kern.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_slice.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/geom_vfs.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/label/g_label.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/mirror/g_mirror.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/mirror/g_mirror.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/multipath/g_multipath.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/nop/g_nop.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/nop/g_nop.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part_apm.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part_bsd.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part_ebr.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part_gpt.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part_mbr.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part_pc98.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/part/g_part_vtoc8.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/g_raid.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/g_raid.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/md_ddf.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/md_intel.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/md_jmicron.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/md_nvidia.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/md_promise.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/md_sii.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/tr_concat.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/tr_raid0.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/tr_raid1.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/tr_raid1e.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/raid/tr_raid5.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/stripe/g_stripe.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/stripe/g_stripe.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/geom/zero/g_zero.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/kern_conf.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/kern_event.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/kern_poll.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/kern_resource.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/makesyscalls.sh#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/subr_devstat.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/subr_taskqueue.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/uipc_syscalls.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/kern/vfs_bio.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/atheros/ar71xx_spi.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/atheros/if_arge.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/atheros/if_argevar.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/beri/beri_asm.S#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/beri/beri_machdep.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/beri/beri_mp.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/beri/beri_pic.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/beri/files.beri#18 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/beri/std.beri#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_DE4.hints#15 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_DE4_BASE#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_DE4_MDROOT#11 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_DE4_SDROOT#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_MT_SIM_MDROOT#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_SIM.hints#5 delete .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_SIM_BASE#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_SIM_MDROOT#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_SIM_SDROOT#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/BERI_TEMPLATE#10 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/DB120#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/conf/DB120.hints#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/include/cpufunc.h#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/include/pte.h#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/mips/cache.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/mips/cpu.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/mips/mips/trap.c#20 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/modules/Makefile#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/modules/cxgb/Makefile#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/modules/cxgbe/Makefile#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/modules/cxgbe/iw_cxgbe/Makefile#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/net/flowtable.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/net/ieee8023ad_lacp.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/net/if_media.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/net/radix.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/net/radix.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/net/route.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/netgraph/ng_base.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/netinet/in.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/netinet/sctp_constants.h#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/netinet/sctp_usrreq.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/netinet/tcp_input.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/ofed/drivers/net/mlx4/en_netdev.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/pci/if_rlreg.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/aim/clock.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/aim/interrupt.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/aim/machdep.c#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/aim/nexus.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/aim/vm_machdep.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/booke/clock.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/booke/machdep.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/booke/pmap.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/booke/vm_machdep.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/conf/GENERIC64#6 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/mambo/mambo_openpic.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/mpc85xx/nexus.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/ofw/ofw_pci.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/ofw/ofw_pci.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/ofw/ofw_pcib_pci.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/ofw/ofw_pcibus.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/ofw/openpic_ofw.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/powermac/ata_kauai.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/powermac/ata_macio.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/powermac/openpic_macio.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/powerpc/clock.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/powerpc/nexus.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/powerpc/openpic_fdt.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/powerpc/vm_machdep.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/pseries/phyp-hvcall.S#2 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/powerpc/pseries/phyp_llan.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/sys/sys/_task.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/sys/devicestat.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/sys/eventvar.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/sys/param.h#11 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/sys/proc.h#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/sys/resource.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/sys/resourcevar.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/sys/taskqueue.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/ufs/ffs/ffs_extern.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/ufs/ffs/ffs_softdep.c#9 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/ufs/ffs/ffs_vfsops.c#8 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/ufs/ffs/softdep.h#3 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/ufs/ufs/ufs_extern.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/ufs/ufs/ufsmount.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/sys/xen/xen-os.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/tools/build/mk/OptionalObsoleteFiles.inc#10 integrate .. //depot/projects/ctsrd/cheribsd/src/tools/build/options/WITHOUT_RCS#4 integrate .. //depot/projects/ctsrd/cheribsd/src/tools/tools/atsectl/Makefile#1 branch .. //depot/projects/ctsrd/cheribsd/src/tools/tools/atsectl/atsectl.c#1 branch .. //depot/projects/ctsrd/cheribsd/src/usr.bin/limits/limits.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/Makefile.inc#3 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovs-appctl/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovs-benchmark/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovs-controller/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovs-dpctl/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovs-ofctl/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovs-vsctl/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovsdb-client/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/openvswitch/ovsdb-tool/Makefile#2 delete .. //depot/projects/ctsrd/cheribsd/src/usr.bin/pathchk/pathchk.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.bin/procstat/procstat_rlimit.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.bin/uname/uname.1#3 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.bin/uname/uname.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/Makefile#8 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/bhyverun.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/bhyverun.h#4 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/mptbl.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/pci_ahci.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/pci_hostbridge.c#3 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/pci_virtio_block.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/pci_virtio_net.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/rtc.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyve/spinup_ap.c#2 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyvectl/bhyvectl.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyveload/bhyveload.8#4 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bhyveload/bhyveload.c#4 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bsdinstall/bsdinstall.8#5 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/bsdinstall/scripts/zfsboot#2 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/freebsd-update/freebsd-update.sh#3 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/isfctl/Makefile#5 delete .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/isfctl/isfctl.8#4 delete .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/isfctl/isfctl.c#5 delete .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/kldxref/kldxref.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/pkg/pkg.c#5 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/portsnap/portsnap/portsnap.8#6 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/portsnap/portsnap/portsnap.sh#6 integrate .. //depot/projects/ctsrd/cheribsd/src/usr.sbin/ppp/mppe.c#3 integrate Differences ... ==== //depot/projects/ctsrd/cheribsd/src/Makefile.inc1#13 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/Makefile.inc1 256298 2013-10-10 20:47:11Z dim $ +# $FreeBSD: head/Makefile.inc1 256921 2013-10-22 18:36:39Z cperciva $ # # Make command line options: # -DNO_CLEANDIR run ${MAKE} clean, instead of ${MAKE} cleandir @@ -128,8 +128,11 @@ .endif .if !defined(VERSION) -VERSION!= uname -srp -VERSION+= ${OSRELDATE} +REVISION!= make -C ${.CURDIR}/release -V REVISION +BRANCH!= make -C ${.CURDIR}/release -V BRANCH +SRCRELDATE!= awk '/^\#define[[:space:]]*__FreeBSD_version/ { print $$3 }' \ + ${.CURDIR}/sys/sys/param.h +VERSION= FreeBSD ${REVISION}-${BRANCH} ${TARGET_ARCH} ${SRCRELDATE} .endif KNOWN_ARCHES?= amd64 arm armeb/arm armv6/arm i386 i386/pc98 ia64 mips mipsel/mips mips64el/mips mips64/mips mipsn32el/mips mipsn32/mips powerpc powerpc64/powerpc sparc64 @@ -1377,12 +1380,10 @@ # If an full path to an external cross compiler is given, don't build # a cross compiler. .if ${XCC:M/*} == "" && ${MK_CROSS_COMPILER} != "no" -.if ${MK_CLANG} != "no" && (${MK_CLANG_IS_CC} != "no" || ${CC:T:Mclang} == "clang") +.if (${MK_CLANG_IS_CC} != "no" || ${CC:T:Mclang} == "clang") && ${TARGET} != "pc98" _clang= usr.bin/clang _clang_libs= lib/clang -.endif - -.if ${MK_GCC} != "no" && (${MK_CLANG_IS_CC} == "no" || ${TARGET} == "pc98") +.else _cc= gnu/usr.bin/cc .endif .endif @@ -1722,6 +1723,13 @@ chflags noschg "${DESTDIR}/$${file}" 2>/dev/null || true; \ rm ${RM_I} "${DESTDIR}/$${file}" <&3; \ fi; \ + for ext in debug symbols; do \ + if ! [ -e "${DESTDIR}/$${file}" ] && [ -f \ + "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}" ]; then \ + rm ${RM_I} "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}" \ + <&3; \ + fi; \ + done; \ done @echo ">>> Old libraries removed" @@ -1733,6 +1741,11 @@ if [ -f "${DESTDIR}/$${file}" -o -L "${DESTDIR}/$${file}" ]; then \ echo "${DESTDIR}/$${file}"; \ fi; \ + for ext in debug symbols; do \ + if [ -f "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}" ]; then \ + echo "${DESTDIR}${DEBUGDIR}/$${file}.$${ext}"; \ + fi; \ + done; \ done delete-old-dirs: @@ -1830,7 +1843,7 @@ CPUTYPE=${XDEV_CPUTYPE} XDDIR=${XDEV_ARCH}-freebsd -XDTP=/usr/${XDDIR} +XDTP=usr/${XDDIR} CDBENV=MAKEOBJDIRPREFIX=${MAKEOBJDIRPREFIX}/${XDDIR} \ INSTALL="sh ${.CURDIR}/tools/install.sh" CDENV= ${CDBENV} \ @@ -1843,8 +1856,8 @@ CDTMP= ${MAKEOBJDIRPREFIX}/${XDDIR}/${.CURDIR}/tmp CDMAKE=${CDENV} PATH=${CDTMP}/usr/bin:${PATH} ${MAKE} ${NOFUN} -CD2MAKE=${CD2ENV} PATH=${CDTMP}/usr/bin:${XDTP}/usr/bin:${PATH} ${MAKE} ${NOFUN} -XDDESTDIR=${DESTDIR}${XDTP} +CD2MAKE=${CD2ENV} PATH=${CDTMP}/usr/bin:${XDDESTDIR}/usr/bin:${PATH} ${MAKE} ${NOFUN} +XDDESTDIR=${DESTDIR}/${XDTP} .if !defined(OSREL) OSREL!= uname -r | sed -e 's/[-(].*//' .endif @@ -1924,6 +1937,7 @@ _xi-links: ${_+_}cd ${XDDESTDIR}/usr/bin; \ + mkdir -p ../../../../usr/bin; \ for i in *; do \ ln -sf ../../${XDTP}/usr/bin/$$i \ ../../../../usr/bin/${XDDIR}-$$i; \ ==== //depot/projects/ctsrd/cheribsd/src/ObsoleteFiles.inc#11 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/ObsoleteFiles.inc 256450 2013-10-14 18:31:15Z bdrewery $ +# $FreeBSD: head/ObsoleteFiles.inc 256787 2013-10-20 13:41:42Z antoine $ # # This file lists old files (OLD_FILES), libraries (OLD_LIBS) and # directories (OLD_DIRS) which should get removed at an update. Recently @@ -97,7 +97,7 @@ OLD_FILES+=usr/include/lwres/version.h OLD_FILES+=usr/lib/liblwres.a OLD_FILES+=usr/lib/liblwres.so -OLD_LIBS+=usr/lib/liblwres.so.50 +OLD_LIBS+=usr/lib/liblwres.so.90 OLD_FILES+=usr/lib/liblwres_p.a OLD_FILES+=usr/sbin/arpaname OLD_FILES+=usr/sbin/ddns-confgen @@ -107,6 +107,7 @@ OLD_FILES+=usr/sbin/dnssec-revoke OLD_FILES+=usr/sbin/dnssec-settime OLD_FILES+=usr/sbin/dnssec-signzone +OLD_FILES+=usr/sbin/dnssec-verify OLD_FILES+=usr/sbin/genrandom OLD_FILES+=usr/sbin/isc-hmac-fixup OLD_FILES+=usr/sbin/lwresd @@ -270,6 +271,7 @@ OLD_FILES+=usr/share/man/man8/dnssec-revoke.8.gz OLD_FILES+=usr/share/man/man8/dnssec-settime.8.gz OLD_FILES+=usr/share/man/man8/dnssec-signzone.8.gz +OLD_FILES+=usr/share/man/man8/dnssec-verify.8.gz OLD_FILES+=usr/share/man/man8/genrandom.8.gz OLD_FILES+=usr/share/man/man8/isc-hmac-fixup.8.gz OLD_FILES+=usr/share/man/man8/lwresd.8.gz @@ -304,6 +306,8 @@ OLD_DIRS+=var/named/var/run/named OLD_DIRS+=var/named/var/stats OLD_DIRS+=var/run/named +# 20130923: example moved +OLD_FILES+=usr/share/examples/bsdconfig/browse_packages.sh # 20130908: libssh becomes private OLD_FILES+=usr/lib/libssh.a OLD_FILES+=usr/lib/libssh.so ==== //depot/projects/ctsrd/cheribsd/src/bin/ps/print.c#4 (text+ko) ==== @@ -34,7 +34,7 @@ #endif #include -__FBSDID("$FreeBSD: head/bin/ps/print.c 238488 2012-07-15 15:22:13Z jilles $"); +__FBSDID("$FreeBSD: head/bin/ps/print.c 256838 2013-10-21 09:12:04Z trasz $"); #include #include @@ -797,8 +797,6 @@ emulname(KINFO *k, VARENT *ve __unused) { - if (k->ki_p->ki_emul == NULL) - return (NULL); return (strdup(k->ki_p->ki_emul)); } @@ -827,7 +825,6 @@ char * loginclass(KINFO *k, VARENT *ve __unused) { - char *s; /* * Don't display login class for system processes; @@ -837,8 +834,5 @@ if (k->ki_p->ki_flag & P_SYSTEM) { return (strdup("-")); } - s = k->ki_p->ki_loginclass; - if (s == NULL) - return (NULL); - return (strdup(s)); + return (strdup(k->ki_p->ki_loginclass)); } ==== //depot/projects/ctsrd/cheribsd/src/bin/sh/jobs.c#10 (text+ko) ==== @@ -36,7 +36,7 @@ #endif #endif /* not lint */ #include -__FBSDID("$FreeBSD: head/bin/sh/jobs.c 255157 2013-09-02 21:57:46Z jilles $"); +__FBSDID("$FreeBSD: head/bin/sh/jobs.c 256735 2013-10-18 12:35:12Z jilles $"); #include #include @@ -978,7 +978,6 @@ waitforjob(struct job *jp, int *origstatus) { #if JOBS - pid_t mypgrp = getpgrp(); int propagate_int = jp->jobctl && jp->foreground; #endif int status; @@ -992,7 +991,7 @@ dotrap(); #if JOBS if (jp->jobctl) { - if (tcsetpgrp(ttyfd, mypgrp) < 0) + if (tcsetpgrp(ttyfd, rootpid) < 0) error("tcsetpgrp failed, errno=%d\n", errno); } if (jp->state == JOBSTOPPED) ==== //depot/projects/ctsrd/cheribsd/src/bin/sh/miscbltin.c#6 (text+ko) ==== @@ -36,7 +36,7 @@ #endif #endif /* not lint */ #include -__FBSDID("$FreeBSD: head/bin/sh/miscbltin.c 250214 2013-05-03 15:28:31Z jilles $"); +__FBSDID("$FreeBSD: head/bin/sh/miscbltin.c 256850 2013-10-21 16:46:12Z kib $"); /* * Miscellaneous builtins. @@ -405,6 +405,9 @@ #ifdef RLIMIT_NPTS { "pseudo-terminals", (char *)0, RLIMIT_NPTS, 1, 'p' }, #endif +#ifdef RLIMIT_KQUEUES + { "kqueues", (char *)0, RLIMIT_KQUEUES, 1, 'k' }, +#endif { (char *) 0, (char *)0, 0, 0, '\0' } }; @@ -421,7 +424,7 @@ struct rlimit limit; what = 'f'; - while ((optc = nextopt("HSatfdsmcnuvlbpw")) != '\0') + while ((optc = nextopt("HSatfdsmcnuvlbpwk")) != '\0') switch (optc) { case 'H': how = HARD; ==== //depot/projects/ctsrd/cheribsd/src/cddl/contrib/opensolaris/lib/libdtrace/common/dt_open.c#7 (text+ko) ==== @@ -311,6 +311,10 @@ &dt_idops_func, "void(@)" }, { "memref", DT_IDENT_FUNC, 0, DIF_SUBR_MEMREF, DT_ATTR_STABCMN, DT_VERS_1_1, &dt_idops_func, "uintptr_t *(void *, size_t)" }, +#if !defined(sun) +{ "memstr", DT_IDENT_FUNC, 0, DIF_SUBR_MEMSTR, DT_ATTR_STABCMN, DT_VERS_1_0, + &dt_idops_func, "string(void *, char, size_t)" }, +#endif { "min", DT_IDENT_AGGFUNC, 0, DTRACEAGG_MIN, DT_ATTR_STABCMN, DT_VERS_1_0, &dt_idops_func, "void(@)" }, { "mod", DT_IDENT_ACTFUNC, 0, DT_ACT_MOD, DT_ATTR_STABCMN, ==== //depot/projects/ctsrd/cheribsd/src/cddl/lib/libdtrace/psinfo.d#4 (text+ko) ==== @@ -21,7 +21,7 @@ * * Portions Copyright 2006 John Birrell jb@freebsd.org * - * $FreeBSD: head/cddl/lib/libdtrace/psinfo.d 239972 2012-09-01 08:14:21Z rpaulo $ + * $FreeBSD: head/cddl/lib/libdtrace/psinfo.d 256571 2013-10-16 01:39:26Z markj $ */ /* * Copyright 2004 Sun Microsystems, Inc. All rights reserved. @@ -57,7 +57,8 @@ pr_gid = T->p_ucred->cr_rgid; pr_egid = T->p_ucred->cr_groups[0]; pr_addr = 0; - pr_psargs = stringof(T->p_args->ar_args); + pr_psargs = (T->p_args->ar_args == 0) ? "" : + memstr(T->p_args->ar_args, ' ', T->p_args->ar_length); pr_arglen = T->p_args->ar_length; pr_jailid = T->p_ucred->cr_prison->pr_id; }; ==== //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/config/tc-arm.c#6 (text+ko) ==== @@ -12660,14 +12660,14 @@ /* Conversions without bitshift. */ const char *enc[] = { - "ftosis", - "ftouis", + "ftosizs", + "ftouizs", "fsitos", "fuitos", "fcvtsd", "fcvtds", - "ftosid", - "ftouid", + "ftosizd", + "ftouizd", "fsitod", "fuitod" }; ==== //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/config/tc-mips.c#6 (text+ko) ==== @@ -15535,21 +15535,6 @@ -mno-octeon-useun generate MIPS unaligned load/store instructions\n")); } -enum dwarf2_format -mips_dwarf2_format (void) -{ - if (HAVE_64BIT_SYMBOLS) - { -#ifdef TE_IRIX - return dwarf2_format_64bit_irix; -#else - return dwarf2_format_64bit; -#endif - } - else - return dwarf2_format_32bit; -} - int mips_dwarf2_addr_size (void) { ==== //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/config/tc-mips.h#2 (text+ko) ==== @@ -155,10 +155,6 @@ extern void mips_enable_auto_align (void); #define md_elf_section_change_hook() mips_enable_auto_align() -enum dwarf2_format; -extern enum dwarf2_format mips_dwarf2_format (void); -#define DWARF2_FORMAT() mips_dwarf2_format () - extern int mips_dwarf2_addr_size (void); #define DWARF2_ADDR_SIZE(bfd) mips_dwarf2_addr_size () ==== //depot/projects/ctsrd/cheribsd/src/contrib/binutils/gas/dwarf2dbg.c#2 (text+ko) ==== @@ -1271,6 +1271,7 @@ symbolS *line_end; struct line_seg *s; enum dwarf2_format d2f; + int sizeof_initial_length; int sizeof_offset; subseg_set (line_seg, 0); @@ -1287,27 +1288,24 @@ d2f = DWARF2_FORMAT (); if (d2f == dwarf2_format_32bit) { - expr.X_add_number = -4; - emit_expr (&expr, 4); - sizeof_offset = 4; + sizeof_initial_length = sizeof_offset = 4; >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Wed Oct 23 21:18:44 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 703E4942; Wed, 23 Oct 2013 21:18:44 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 2E2E0940 for ; Wed, 23 Oct 2013 21:18:44 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 1A68721B3 for ; Wed, 23 Oct 2013 21:18:44 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9NLIhSZ085314 for ; Wed, 23 Oct 2013 21:18:43 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9NLIhLd085311 for perforce@freebsd.org; Wed, 23 Oct 2013 21:18:43 GMT (envelope-from brooks@freebsd.org) Date: Wed, 23 Oct 2013 21:18:43 GMT Message-Id: <201310232118.r9NLIhLd085311@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187316 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 23 Oct 2013 21:18:44 -0000 http://p4web.freebsd.org/@@1187316?ac=10 Change 1187316 by brooks@brooks_zenith on 2013/10/23 21:18:09 Revert 218102 to reduce diffs to HEAD. This is now implemented in the build system. Affected files ... .. //depot/projects/ctsrd/beribsd/src/lib/libc/stdlib/jemalloc/Makefile.inc#4 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/lib/libc/stdlib/jemalloc/Makefile.inc#4 (text+ko) ==== @@ -41,4 +41,6 @@ jemalloc.3 nallocm.3 \ jemalloc.3 malloc.conf.5 +.if defined(MALLOC_PRODUCTION) CFLAGS+= -DMALLOC_PRODUCTION +.endif From owner-p4-projects@FreeBSD.ORG Wed Oct 23 21:22:49 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 02170C66; Wed, 23 Oct 2013 21:22:49 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id B7B09C64 for ; Wed, 23 Oct 2013 21:22:48 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id A2AFF2200 for ; Wed, 23 Oct 2013 21:22:48 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9NLMmZX086245 for ; Wed, 23 Oct 2013 21:22:48 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9NLMmRx086242 for perforce@freebsd.org; Wed, 23 Oct 2013 21:22:48 GMT (envelope-from brooks@freebsd.org) Date: Wed, 23 Oct 2013 21:22:48 GMT Message-Id: <201310232122.r9NLMmRx086242@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187317 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 23 Oct 2013 21:22:49 -0000 http://p4web.freebsd.org/@@1187317?ac=10 Change 1187317 by brooks@brooks_zenith on 2013/10/23 21:22:12 Reduce diffs to HEAD by reverting 227979. We enable the none cypher in the build scripts. Affected files ... .. //depot/projects/ctsrd/beribsd/src/share/mk/bsd.own.mk#15 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/share/mk/bsd.own.mk#15 (text+ko) ==== @@ -323,7 +323,6 @@ NS_CACHING \ NTP \ OPENSSH \ - OPENSSH_NONE_CIPHER \ OPENSSL \ PAM \ PC_SYSINSTALL \ @@ -374,6 +373,7 @@ NMTREE \ NAND \ OFED \ + OPENSSH_NONE_CIPHER \ PKGTOOLS \ SHARED_TOOLCHAIN \ SVN \ From owner-p4-projects@FreeBSD.ORG Thu Oct 24 16:09:13 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id E83DFF12; Thu, 24 Oct 2013 16:09:12 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 9303CF10 for ; Thu, 24 Oct 2013 16:09:12 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 7F42E286A for ; Thu, 24 Oct 2013 16:09:12 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9OG9CeS053712 for ; Thu, 24 Oct 2013 16:09:12 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9OG9Cdl053709 for perforce@freebsd.org; Thu, 24 Oct 2013 16:09:12 GMT (envelope-from brooks@freebsd.org) Date: Thu, 24 Oct 2013 16:09:12 GMT Message-Id: <201310241609.r9OG9Cdl053709@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187342 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Thu, 24 Oct 2013 16:09:13 -0000 http://p4web.freebsd.org/@@1187342?ac=10 Change 1187342 by brooks@brooks_zenith on 2013/10/24 16:09:08 ifdef around platform_init_secondary() rather than requiring that all MIPS platforms provide a stub. Affected files ... .. //depot/projects/ctsrd/beribsd/src/sys/conf/options.mips#16 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/beri/std.beri#9 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/cavium/octeon_mp.c#5 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/gxemul/gxemul_machdep.c#7 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/include/hwfunc.h#6 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/include/pte.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/mips/mp_machdep.c#6 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/nlm/xlp_machdep.c#5 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/rmi/xlr_machdep.c#5 edit .. //depot/projects/ctsrd/beribsd/src/sys/mips/sibyte/sb_machdep.c#6 edit Differences ... ==== //depot/projects/ctsrd/beribsd/src/sys/conf/options.mips#16 (text+ko) ==== @@ -89,6 +89,7 @@ # Options specific to the BERI platform. # BERI_LARGE_TLB opt_global.h +PLATFORM_INIT_SECONDARY opt_global.h # # Options that control the Atheros SoC peripherals ==== //depot/projects/ctsrd/beribsd/src/sys/mips/beri/std.beri#9 (text+ko) ==== @@ -4,3 +4,4 @@ cpu CPU_MIPS4KC options BERI_LARGE_TLB +options PLATFORM_INIT_SECONDARY ==== //depot/projects/ctsrd/beribsd/src/sys/mips/cavium/octeon_mp.c#5 (text+ko) ==== @@ -46,12 +46,6 @@ unsigned octeon_ap_boot = ~0; void -platform_init_secondary(int cpuid) -{ - -} - -void platform_ipi_send(int cpuid) { cvmx_write_csr(CVMX_CIU_MBOX_SETX(cpuid), 1); ==== //depot/projects/ctsrd/beribsd/src/sys/mips/gxemul/gxemul_machdep.c#7 (text+ko) ==== @@ -174,12 +174,6 @@ #ifdef SMP void -platform_init_secondary(int cpuid) -{ - -} - -void platform_ipi_send(int cpuid) { GXEMUL_MP_DEV_WRITE(GXEMUL_MP_DEV_IPI_ONE, (1 << 16) | cpuid); ==== //depot/projects/ctsrd/beribsd/src/sys/mips/include/hwfunc.h#6 (text+ko) ==== @@ -69,10 +69,12 @@ */ int platform_ipi_intrnum(void); +#ifdef PLATFORM_INIT_SECONDARY /* * Set up IPIs for this CPU. */ void platform_init_secondary(int cpuid); +#endif /* * Trigger a IPI interrupt on 'cpuid'. ==== //depot/projects/ctsrd/beribsd/src/sys/mips/include/pte.h#6 (text+ko) ==== ==== //depot/projects/ctsrd/beribsd/src/sys/mips/mips/mp_machdep.c#6 (text+ko) ==== @@ -302,7 +302,9 @@ while (!aps_ready) ; +#ifdef PLATFORM_INIT_SECONDARY platform_init_secondary(cpuid); +#endif /* Initialize curthread. */ KASSERT(PCPU_GET(idlethread) != NULL, ("no idle thread")); @@ -345,7 +347,9 @@ if (mp_ncpus == 1) return; +#ifdef PLATFORM_INIT_SECONDARY platform_init_secondary(0); +#endif /* * IPI handler ==== //depot/projects/ctsrd/beribsd/src/sys/mips/nlm/xlp_machdep.c#5 (text+ko) ==== @@ -697,12 +697,6 @@ } void -platform_init_secondary(int cpuid) -{ - -} - -void platform_ipi_send(int cpuid) { ==== //depot/projects/ctsrd/beribsd/src/sys/mips/rmi/xlr_machdep.c#5 (text+ko) ==== @@ -578,12 +578,6 @@ } void -platform_init_secondary(int cpuid) -{ - -} - -void platform_ipi_send(int cpuid) { ==== //depot/projects/ctsrd/beribsd/src/sys/mips/sibyte/sb_machdep.c#6 (text+ko) ==== @@ -295,12 +295,6 @@ #ifdef SMP void -platform_init_secondary(int cpuid) -{ - -} - -void platform_ipi_send(int cpuid) { KASSERT(cpuid == 0 || cpuid == 1, From owner-p4-projects@FreeBSD.ORG Thu Oct 24 18:49:06 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 13C287F6; Thu, 24 Oct 2013 18:49:06 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id C777A7F4 for ; Thu, 24 Oct 2013 18:49:05 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 9B6E9226D for ; Thu, 24 Oct 2013 18:49:05 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9OIn5Y7078872 for ; Thu, 24 Oct 2013 18:49:05 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9OIn5V2078869 for perforce@freebsd.org; Thu, 24 Oct 2013 18:49:05 GMT (envelope-from brooks@freebsd.org) Date: Thu, 24 Oct 2013 18:49:05 GMT Message-Id: <201310241849.r9OIn5V2078869@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187348 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Thu, 24 Oct 2013 18:49:06 -0000 http://p4web.freebsd.org/@@1187348?ac=10 Change 1187348 by brooks@brooks_zenith on 2013/10/24 18:48:10 GC the tPad hints file. Its contents are in the beripad-tpad.dts file. Affected files ... .. //depot/projects/ctsrd/beribsd/src/sys/mips/conf/BERI_TPAD.hints#5 delete Differences ... From owner-p4-projects@FreeBSD.ORG Thu Oct 24 19:09:28 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id B71ED8F; Thu, 24 Oct 2013 19:09:28 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 785A67E for ; Thu, 24 Oct 2013 19:09:28 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 6260E23AC for ; Thu, 24 Oct 2013 19:09:28 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9OJ9S6Q081515 for ; Thu, 24 Oct 2013 19:09:28 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9OJ9R7I081511 for perforce@freebsd.org; Thu, 24 Oct 2013 19:09:27 GMT (envelope-from brooks@freebsd.org) Date: Thu, 24 Oct 2013 19:09:27 GMT Message-Id: <201310241909.r9OJ9R7I081511@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187349 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Thu, 24 Oct 2013 19:09:28 -0000 http://p4web.freebsd.org/@@1187349?ac=10 Change 1187349 by brooks@brooks_zenith on 2013/10/24 19:08:33 IFC at 1187312 (matches git 7edc0c6a5c081226bde1b45d101eac5cced4e4c0) fix a few previous merge botches to reduce diffs. Affected files ... .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Apps/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Apps/httpdstat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Apps/nfswizard.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Apps/shellsnoop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Apps/weblatency.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Java/Func_abc.java#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Java/Func_loop.java#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/JavaScript/func_clock.html#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/JavaScript/func_slow.html#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/func_abc.pl#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/func_malloc.pl#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/func_slow.pl#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/hello.pl#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/hello_strict.pl#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Php/func_abc.php#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Python/func_abc.py#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Python/func_slow.py#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Ruby/func_abc.rb#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Ruby/func_slow.rb#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Shell/func_abc.sh#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Shell/func_slow.sh#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Shell/func_waste.sh#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Tcl/func_abc.tcl#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Code/Tcl/func_slow.tcl#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/cputypes.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/cpuwalk.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/dispqlen.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/intbycpu.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/intoncpu.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/inttimes.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/loads.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/runocc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Cpu/xcallsbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/bitesize.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/diskhits#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/hotspot.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/iofile.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/iofileb.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/iopending#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Disk/seeksize.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/Contents#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/Faq#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/History#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/Links#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/Maintainer#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/ToDo#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/Who#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/cddl1.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Docs/oneliners.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/Copyright#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/anonpgpid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/bitesize_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/connections_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/cpudists_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/cputimes_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/cputypes_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/cpuwalk_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/crash_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/creatbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/cswstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dappprof_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dapptrace_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dexplorer_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/diskhits_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dispqlen_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dnlcps_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dnlcsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dnlcstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dtruss_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/dvmstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/errinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/execsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/fddist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/filebyproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/fspaging_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/fsrw_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/guess_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/hotkernel_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/hotspot_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/hotuser_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/httpdstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/icmpstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/intbycpu_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/intoncpu_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/inttimes_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/iofile_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/iofileb_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/iopattern_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/iopending_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/iosnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/iotop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_calls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_classflow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_events_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_methodcalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_objnew_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_package_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_profile_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_stat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_thread_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/j_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_calls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_execs_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_objcpu_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_objgc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_objnew_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_stat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/js_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/kill_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/kstat_types_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/lastwords_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/loads_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/lockbydist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/lockbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/minfbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/minfbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/mmapfiles_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/modcalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/newproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/nfswizard_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/oneliners_examples.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/opensnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pathopens_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pfilestat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pgpginbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pgpginbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_funccalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/php_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pidpersec_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_subcalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/priclass_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/pridist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/procsystime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/putnexts_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_funccalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_mallocstk_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_profile_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/py_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_calls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_funccalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_lines_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_objcpu_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_objnew_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_stat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/readbytes_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/readdist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rfileio_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rfsio_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/runocc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rwbbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rwbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rwbytype_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rwsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/rwtop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sampleproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sar-c_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/seeksize_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/setuids_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_calls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_lines_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_pidcolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_stat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_wasted_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/shellsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/shortlived_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sigdist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/stacksize_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/statsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/swapinfo_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/sysbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/syscallbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/syscallbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/syscallbysysc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_calls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_flow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_ins_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_insflow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_proccalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_procflow_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_stat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_who_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpsnoop_d_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcptop_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpwdist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/threaded_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/topsyscall_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/topsysproc_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/udpstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/uname-a_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/vmbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/vmstat-p_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/vmstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/vopstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/weblatency_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/whatexec_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/woof_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/wpm_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/writebytes_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/writedist_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/xcallsbypid_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/xvmstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Examples/zvmstat_example.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/FS/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/FS/fspaging.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/FS/fsrw.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/FS/rfileio.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/FS/rfsio.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/FS/vopstat#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Guide#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Include/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Include/test.ksh#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Include/time.h#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Include/tostr.h#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_calls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_classflow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_events.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_methodcalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_objnew.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_package.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_profile.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_stat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_syscalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_syscolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_thread.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Java/j_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_calls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_execs.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_flowinfo.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_objcpu.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_objgc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_objnew.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_stat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/cpudists#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/cputimes#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/cswstat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/dnlcps.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/dnlcsnoop.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/dnlcstat#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/kstat_types.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/modcalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/priclass.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/pridist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/putnexts.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Kernel/whatexec.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Locks/lockbydist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Locks/lockbyproc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/anonpgpid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/bitesize.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/connections.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cpudists.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cputimes.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cputypes.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cpuwalk.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/crash.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/creatbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cswstat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dappprof.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dapptrace.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dexplorer.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/diskhits.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dispqlen.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dnlcps.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dnlcsnoop.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dnlcstat.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dtruss.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dvmstat.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/errinfo.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/execsnoop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/fddist.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/filebyproc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/fspaging.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/fsrw.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/guess.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/hotkernel.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/hotspot.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/hotuser.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/httpdstat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/icmpstat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/intbycpu.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/intoncpu.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/inttimes.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iofile.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iofileb.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iopattern.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iopending.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iosnoop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iotop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_calls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_classflow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_events.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_methodcalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_objnew.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_package.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_profile.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_stat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_thread.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_calls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_execs.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_objcpu.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_objgc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_objnew.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_stat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/kill.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/kstat_types.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/lastwords.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/loads.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/lockbydist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/lockbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/minfbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/minfbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/mmapfiles.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/modcalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/newproc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/nfswizard.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/opensnoop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pathopens.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pfilestat.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pgpginbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pgpginbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_funccalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pidpersec.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_subcalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/priclass.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pridist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/procsystime.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/putnexts.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_funccalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_mallocstk.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_profile.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_calls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_funccalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_lines.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_objcpu.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_objnew.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_stat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/readbytes.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/readdist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rfileio.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rfsio.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/runocc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwbbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwbytype.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwsnoop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwtop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sampleproc.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sar-c.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/seeksize.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/setuids.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_calls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_lines.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_pidcolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_stat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_wasted.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/shellsnoop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/shortlived.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sigdist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/stacksize.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/statsnoop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/swapinfo.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sysbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/syscallbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/syscallbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/syscallbysysc.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_calls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_flow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_ins.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_insflow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_proccalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_procflow.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_stat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_who.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop_snv.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop_snv.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpstat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcptop.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcptop_snv.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpwdist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/threaded.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/topsyscall.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/topsysproc.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/udpstat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/uname-a.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vmbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vmstat-p.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vmstat.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vopstat.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/weblatency.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/whatexec.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/woof.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/wpm.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/writebytes.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/writedist.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/xcallsbypid.d.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/xvmstat.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/zvmstat.1m#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/anonpgpid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/minfbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/minfbyproc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/pgpginbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/pgpginbyproc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/swapinfo.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/vmbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/vmstat-p.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/vmstat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Mem/xvmstat#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Misc/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Misc/guess.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Misc/woof.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Misc/wpm.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/connections#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/icmpstat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop_snv#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop_snv.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcpstat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcptop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcptop_snv#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/tcpwdist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Net/udpstat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLcolors_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLelapsed_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLexclusive_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLfbt_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLflow_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLinclusive_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLjava_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLoncpu_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLoverhead.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLperl_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLsnoop_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/cputimes_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/dappprof_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/dapptrace_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/dtruss_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/iosnoop_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/iotop_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Notes/procsystime_notes.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_flowinfo.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_malloc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_subcalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_syscalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_syscolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_flowinfo.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_funccalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_malloc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_syscalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_syscolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Php/php_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/crash.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/creatbyproc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/dappprof#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/dapptrace#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/fddist#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/filebyproc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/kill.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/lastwords#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/mmapfiles.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/newproc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/pathopens.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/pfilestat#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/pidpersec.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/readbytes.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/readdist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/rwbbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/rwbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/rwbytype.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/sampleproc#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/shortlived.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/sigdist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/stacksize.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/sysbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/syscallbypid.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/syscallbyproc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/threaded.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/topsysproc#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/writebytes.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Proc/writedist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_flowinfo.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_funccalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_malloc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_mallocstk.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_profile.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_syscalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_syscolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Python/py_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_calls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_flowinfo.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_funccalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_lines.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_malloc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_objcpu.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_objnew.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_stat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_syscalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_syscolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_calls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_flowinfo.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_lines.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_pidcolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_stat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_syscalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_syscolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_wasted.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Snippits/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Snippits/fd2pathname.txt#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/System/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/System/sar-c.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/System/syscallbysysc.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/System/topsyscall#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/System/uname-a.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_calldist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_calls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_calltime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_cpudist.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_cputime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_flow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_flowtime.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_ins.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_insflow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_proccalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_procflow.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_stat.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_syscalls.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_syscolors.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_who.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/User/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/User/setuids.d#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Version#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Zones/Readme#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/Zones/zvmstat#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/dexplorer#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/dtruss#3 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/dvmstat#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/errinfo#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/execsnoop#3 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/hotkernel#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/hotuser#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/install#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/iopattern#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/iosnoop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/iotop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/opensnoop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/procsystime#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/rwsnoop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/rwtop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/dtracetoolkit/statsnoop#2 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/opensolaris/cmd/zfs/zfs.8#8 integrate .. //depot/projects/ctsrd/beribsd/src/cddl/contrib/opensolaris/cmd/zfs/zfs_main.c#8 integrate .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/CHANGES#6 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/COPYRIGHT#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/FAQ#2 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/FAQ.xml#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/README#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/aclocal.m4#2 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/check/check-tool.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/check/named-checkconf.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/check/named-checkzone.8#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/check/named-checkzone.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/check/named-checkzone.docbook#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/check/named-checkzone.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/confgen/keygen.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/confgen/rndc-confgen.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dig/dig.1#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dig/dig.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dig/dig.docbook#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dig/dig.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dig/dighost.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dig/host.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dig/include/dig/dig.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dnssec/dnssec-keyfromlabel.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dnssec/dnssec-keygen.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dnssec/dnssec-revoke.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dnssec/dnssec-settime.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/dnssec/dnssec-signzone.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/client.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/config.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/control.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/controlconf.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/include/named/client.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/include/named/globals.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/include/named/server.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/interfacemgr.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/log.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/logconf.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/lwresd.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/main.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/named.conf.5#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/named.conf.docbook#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/named.conf.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/query.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/server.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/statschannel.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/tkeyconf.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/tsigconf.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/unix/dlz_dlopen_driver.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/update.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/xfrout.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/named/zoneconf.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/nsupdate/nsupdate.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/rndc/rndc.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/tools/genrandom.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/tools/isc-hmac-fixup.8#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/tools/isc-hmac-fixup.docbook#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/bin/tools/isc-hmac-fixup.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/config.h.in#5 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/config.threads.in#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/configure.in#5 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM-book.xml#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch01.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch02.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch03.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch04.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch05.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch06.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch07.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch08.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch09.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch10.html#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/Bv9ARM.pdf#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.arpaname.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.ddns-confgen.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.dig.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.dnssec-dsfromkey.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.dnssec-keyfromlabel.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.dnssec-keygen.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.dnssec-revoke.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.dnssec-settime.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.dnssec-signzone.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.genrandom.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.host.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.isc-hmac-fixup.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.named-checkconf.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.named-checkzone.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.named-journalprint.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.named.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.nsec3hash.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.nsupdate.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.rndc-confgen.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.rndc.conf.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/man.rndc.html#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/arm/pkcs11.xml#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/doc/misc/options#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/isc-config.sh.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/bind9/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/bind9/api#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/bind9/check.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/acache.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/adb.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/api#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/cache.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/client.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/db.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/dispatch.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/dlz.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/dnssec.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/dst_api.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/dst_internal.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/dst_openssl.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/ecdb.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/gen.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/gssapictx.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/acache.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/db.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/message.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/name.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/ncache.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/nsec.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/nsec3.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/rdata.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/result.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/rpz.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/types.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/validator.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/view.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dns/zone.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/include/dst/dst.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/master.c#5 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/message.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/name.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/ncache.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/nsec.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/nsec3.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/openssl_link.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/openssldsa_link.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/opensslecdsa_link.c#2 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/opensslgost_link.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/opensslrsa_link.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/peer.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rbt.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rbtdb.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata.c#5 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata/any_255/tsig_250.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata/generic/dlv_32769.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata/generic/mx_15.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata/generic/sshfp_44.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata/generic/txt_16.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata/in_1/naptr_35.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rdata/in_1/nsap_22.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/request.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/resolver.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/result.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rootns.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/rpz.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/sdb.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/sdlz.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/spnego.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/spnego_asn1.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/ssu.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/ssu_external.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/tkey.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/tsig.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/validator.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/view.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/xfrin.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/dns/zone.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/dns/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/irs/Makefile.in#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/isc/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/isc/include/isc/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/isc/nls/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/isc/nothreads/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/isc/pthreads/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/isc/unix/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/isccfg/Makefile.in#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/samples/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/samples/nsprobe.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/samples/sample-async.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/samples/sample-gai.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/samples/sample-request.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/samples/sample-update.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/export/samples/sample.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/irs/api#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/irs/dnsconf.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/irs/getaddrinfo.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/irs/getnameinfo.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/irs/resconf.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/Makefile.in#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/api#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/buffer.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/Makefile.in#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/buffer.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/file.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/list.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/mem.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/namespace.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/region.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/sockaddr.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/socket.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/task.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/include/isc/timer.h#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/inet_aton.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/mem.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/nothreads/Makefile.in#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/parseint.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/pthreads/thread.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/ratelimiter.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/sockaddr.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/sparc64/include/isc/atomic.h#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/symtab.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/task.c#4 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/taskpool.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/timer.c#3 delete .. //depot/projects/ctsrd/beribsd/src/contrib/bind9/lib/isc/timer_api.c#3 delete >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Thu Oct 24 23:47:35 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 33D57AAA; Thu, 24 Oct 2013 23:47:35 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id E93B6AA8 for ; Thu, 24 Oct 2013 23:47:34 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id D45DA2823 for ; Thu, 24 Oct 2013 23:47:34 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9ONlYET023405 for ; Thu, 24 Oct 2013 23:47:34 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9ONlYBK023402 for perforce@freebsd.org; Thu, 24 Oct 2013 23:47:34 GMT (envelope-from brooks@freebsd.org) Date: Thu, 24 Oct 2013 23:47:34 GMT Message-Id: <201310242347.r9ONlYBK023402@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187365 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Thu, 24 Oct 2013 23:47:35 -0000 http://p4web.freebsd.org/@@1187365?ac=10 Change 1187365 by brooks@brooks_zenith on 2013/10/24 23:47:18 IFC @ 1187364 syncs with github update. Affected files ... .. //depot/projects/ctsrd/beribsd/src/Makefile.inc1#18 integrate .. //depot/projects/ctsrd/beribsd/src/ObsoleteFiles.inc#13 integrate .. //depot/projects/ctsrd/beribsd/src/etc/mtree/BSD.usr.dist#10 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/fsck_ffs/ea.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/growfs/debug.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sbin/mdconfig/mdconfig.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/share/man/man5/src.conf.5#11 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/bcm2835_spi.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/bcm2835_spireg.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/bcm2835_spivar.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/files.bcm2835#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/conf/RPI-B#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/arm/mv/gpio.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/bcm2835.dtsi#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_da.c#13 integrate .. //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_da.c#14 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/NOTES#13 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/files#26 integrate .. //depot/projects/ctsrd/beribsd/src/sys/conf/files.powerpc#13 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_common.c#12 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/iscsi/icl.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/iwn/if_iwn.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/iwn/if_iwn_debug.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/iwn/if_iwnreg.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/ofw_nexus.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/ofw/ofw_nexus.h#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pci.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pci_private.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pcireg.h#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pcivar.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/spibus/ofw_spibus.c#1 branch .. //depot/projects/ctsrd/beribsd/src/sys/dev/spibus/spibus.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/spibus/spibusvar.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/dev/uart/uart_dev_imx.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/fs/ext2fs/ext2_vnops.c#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/kern/dtio_kdtrace.c#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/kern/subr_devstat.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/mips/include/pte.h#7 integrate .. //depot/projects/ctsrd/beribsd/src/sys/modules/dtrace/Makefile#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/modules/dtrace/dtio/Makefile#4 delete .. //depot/projects/ctsrd/beribsd/src/sys/modules/dtrace/dtraceall/dtraceall.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net/if_tap.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net80211/ieee80211_output.c#9 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net80211/ieee80211_proto.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/net80211/ieee80211_proto.h#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/include/intr_machdep.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/mpc85xx/atpic.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/ofw/openpic_ofw.c#2 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/intr_machdep.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/nexus.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/powerpc/powerpc/pic_if.m#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sparc64/include/bus.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sparc64/include/bus_private.h#3 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sparc64/pci/fire.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sparc64/pci/psycho.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sparc64/pci/schizo.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sparc64/sbus/sbus.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/sparc64/sparc64/bus_machdep.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ffs/ffs_vnops.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/sys/ufs/ufs/dinode.h#4 integrate .. //depot/projects/ctsrd/beribsd/src/sys/x86/x86/io_apic.c#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyve/bhyverun.c#6 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/bhyveload/bhyveload.c#5 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/freebsd-update/freebsd-update.sh#4 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/pkg/config.c#3 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/pkg/config.h#2 integrate .. //depot/projects/ctsrd/beribsd/src/usr.sbin/pkg/pkg.c#7 integrate Differences ... ==== //depot/projects/ctsrd/beribsd/src/Makefile.inc1#18 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/Makefile.inc1 256921 2013-10-22 18:36:39Z cperciva $ +# $FreeBSD: head/Makefile.inc1 257079 2013-10-24 22:55:15Z gjb $ # # Make command line options: # -DNO_CLEANDIR run ${MAKE} clean, instead of ${MAKE} cleandir @@ -58,6 +58,7 @@ # use that new version. And the new (dynamically-linked) /bin/sh # will expect to find appropriate libraries in /lib and /libexec. # +SRCDIR?= ${.CURDIR} .if defined(SUBDIR_OVERRIDE) SUBDIR= ${SUBDIR_OVERRIDE} .else @@ -128,10 +129,10 @@ .endif .if !defined(VERSION) -REVISION!= make -C ${.CURDIR}/release -V REVISION -BRANCH!= make -C ${.CURDIR}/release -V BRANCH +REVISION!= make -C ${SRCDIR}/release -V REVISION +BRANCH!= make -C ${SRCDIR}/release -V BRANCH SRCRELDATE!= awk '/^\#define[[:space:]]*__FreeBSD_version/ { print $$3 }' \ - ${.CURDIR}/sys/sys/param.h + ${SRCDIR}/sys/sys/param.h VERSION= FreeBSD ${REVISION}-${BRANCH} ${TARGET_ARCH} ${SRCRELDATE} .endif ==== //depot/projects/ctsrd/beribsd/src/ObsoleteFiles.inc#13 (text+ko) ==== @@ -1,5 +1,5 @@ # -# $FreeBSD: head/ObsoleteFiles.inc 256787 2013-10-20 13:41:42Z antoine $ +# $FreeBSD: head/ObsoleteFiles.inc 257077 2013-10-24 21:58:14Z zont $ # # This file lists old files (OLD_FILES), libraries (OLD_LIBS) and # directories (OLD_DIRS) which should get removed at an update. Recently @@ -38,6 +38,8 @@ # xargs -n1 | sort | uniq -d; # done +# 20131023: remove never used iscsi directory +OLD_DIRS+=usr/share/examples/iscsi # 20131014: libbsdyml becomes private OLD_FILES+=usr/lib/libbsdyml.a OLD_FILES+=usr/lib/libbsdyml.so @@ -2491,6 +2493,7 @@ OLD_FILES+=usr/sbin/zpool # 20070423: rc.bluetooth (examples) removed OLD_FILES+=usr/share/examples/netgraph/bluetooth/rc.bluetooth +OLD_DIRS+=usr/share/examples/netgraph/bluetooth # 20070421: worm.4 removed OLD_FILES+=usr/share/man/man4/worm.4.gz # 20070417: trunk(4) renamed to lagg(4) ==== //depot/projects/ctsrd/beribsd/src/etc/mtree/BSD.usr.dist#10 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/etc/mtree/BSD.usr.dist 256769 2013-10-19 10:00:51Z des $ +# $FreeBSD: head/etc/mtree/BSD.usr.dist 257077 2013-10-24 21:58:14Z zont $ # # Please see the file src/etc/mtree/README before making changes to this file. # @@ -325,8 +325,6 @@ .. ipfw .. - iscsi - .. jails .. kld @@ -360,8 +358,6 @@ mdoc .. netgraph - bluetooth - .. .. pc-sysinstall .. ==== //depot/projects/ctsrd/beribsd/src/sbin/fsck_ffs/ea.c#4 (text+ko) ==== @@ -34,7 +34,7 @@ */ #include -__FBSDID("$FreeBSD: head/sbin/fsck_ffs/ea.c 247212 2013-02-24 06:44:29Z mckusick $"); +__FBSDID("$FreeBSD: head/sbin/fsck_ffs/ea.c 257029 2013-10-24 00:33:29Z pfg $"); #include #include @@ -65,7 +65,7 @@ char dbuf[DIRBLKSIZ]; printf("Inode %ju extsize %ju\n", - (intmax_t)idesc->id_number, (intmax_t)dp->di_extsize); + (intmax_t)idesc->id_number, (uintmax_t)dp->di_extsize); if (dp->di_extsize == 0) return 0; if (dp->di_extsize <= sblock.fs_fsize) ==== //depot/projects/ctsrd/beribsd/src/sbin/growfs/debug.c#4 (text+ko) ==== @@ -41,7 +41,7 @@ #ifndef lint static const char rcsid[] = - "$FreeBSD: head/sbin/growfs/debug.c 234312 2012-04-15 15:13:29Z trasz $"; + "$FreeBSD: head/sbin/growfs/debug.c 257029 2013-10-24 00:33:29Z pfg $"; #endif /* not lint */ #include @@ -765,7 +765,7 @@ fprintf(dbg_log, "gen int32_t 0x%08x\n", ino->di_gen); fprintf(dbg_log, "kernflags u_int32_t 0x%08x\n", ino->di_kernflags); fprintf(dbg_log, "flags u_int32_t 0x%08x\n", ino->di_flags); - fprintf(dbg_log, "extsize int32_t 0x%08x\n", ino->di_extsize); + fprintf(dbg_log, "extsize u_int32_t 0x%08x\n", ino->di_extsize); /* XXX: What do we do with di_extb[NXADDR]? */ ==== //depot/projects/ctsrd/beribsd/src/sbin/mdconfig/mdconfig.c#5 (text+ko) ==== @@ -27,7 +27,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sbin/mdconfig/mdconfig.c 253833 2013-07-31 07:06:58Z delphij $ + * $FreeBSD: head/sbin/mdconfig/mdconfig.c 257036 2013-10-24 01:06:44Z hrs $ */ #include @@ -481,12 +481,18 @@ printf("\n"); /* XXX: Check if it's enough to clean everything. */ geom_stats_snapshot_free(sq); - if (((opt & OPT_UNIT) && (fflag == NULL) && ufound) || - ((opt & OPT_UNIT) == 0 && (fflag != NULL) && ffound) || - ((opt & OPT_UNIT) && (fflag != NULL) && ufound && ffound)) - return (0); - else - return (-1); + if (opt & OPT_UNIT) { + if (((fflag == NULL) && ufound) || + ((fflag == NULL) && (units != NULL) && ufound) || + ((fflag != NULL) && ffound) || + ((fflag != NULL) && (units != NULL) && ufound && ffound)) + return (0); + } else if (opt & OPT_LIST) { + if ((fflag == NULL) || + ((fflag != NULL) && ffound)) + return (0); + } + return (-1); } /* ==== //depot/projects/ctsrd/beribsd/src/share/man/man5/src.conf.5#11 (text) ==== @@ -1,7 +1,7 @@ .\" DO NOT EDIT-- this file is automatically generated. .\" from FreeBSD: head/tools/build/options/makeman 255964 2013-10-01 07:22:04Z des -.\" $FreeBSD: head/share/man/man5/src.conf.5 256198 2013-10-09 17:07:20Z gjb $ -.Dd October 6, 2013 +.\" $FreeBSD: head/share/man/man5/src.conf.5 257057 2013-10-24 15:11:30Z brooks $ +.Dd October 24, 2013 .Dt SRC.CONF 5 .Os .Sh NAME @@ -208,10 +208,6 @@ .Va WITHOUT_CLANG_EXTRAS .It .Va WITHOUT_CLANG_FULL -.It -.Va WITHOUT_CLANG_IS_CC -.It -.Va WITHOUT_LLDB .El .It Va WITH_CLANG .\" from FreeBSD: head/tools/build/options/WITH_CLANG 221730 2011-05-10 11:14:40Z ru @@ -323,11 +319,7 @@ .It .Va WITHOUT_CLANG_FULL .It -.Va WITHOUT_CLANG_IS_CC -.It .Va WITHOUT_GROFF -.It -.Va WITHOUT_LLDB .El .It Va WITH_DEBUG_FILES .\" from FreeBSD: head/tools/build/options/WITH_DEBUG_FILES 251512 2013-06-07 21:40:02Z emaste @@ -910,7 +902,7 @@ .Xr rsh 1 , etc. .It Va WITHOUT_RCS -.\" from FreeBSD: head/tools/build/options/WITHOUT_RCS 156932 2006-03-21 07:50:50Z ru +.\" from FreeBSD: head/tools/build/options/WITHOUT_RCS 256198 2013-10-09 17:07:20Z gjb Set to not build .Xr rcs 1 and related utilities. @@ -1030,13 +1022,9 @@ .It .Va WITHOUT_CLANG_FULL .It -.Va WITHOUT_CLANG_IS_CC -.It .Va WITHOUT_GCC .It .Va WITHOUT_GDB -.It -.Va WITHOUT_LLDB .El .It Va WITHOUT_UNBOUND .\" from FreeBSD: head/tools/build/options/WITHOUT_UNBOUND 255597 2013-09-15 14:51:23Z des ==== //depot/projects/ctsrd/beribsd/src/sys/arm/broadcom/bcm2835/files.bcm2835#7 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/arm/broadcom/bcm2835/files.bcm2835 256959 2013-10-23 12:29:39Z loos $ +# $FreeBSD: head/sys/arm/broadcom/bcm2835/files.bcm2835 257062 2013-10-24 16:27:33Z loos $ arm/broadcom/bcm2835/bcm2835_bsc.c optional bcm2835_bsc arm/broadcom/bcm2835/bcm2835_dma.c standard @@ -8,6 +8,7 @@ arm/broadcom/bcm2835/bcm2835_machdep.c standard arm/broadcom/bcm2835/bcm2835_mbox.c standard arm/broadcom/bcm2835/bcm2835_sdhci.c optional sdhci +arm/broadcom/bcm2835/bcm2835_spi.c optional bcm2835_spi arm/broadcom/bcm2835/bcm2835_systimer.c standard arm/broadcom/bcm2835/bcm2835_wdog.c standard arm/broadcom/bcm2835/bus_space.c optional fdt ==== //depot/projects/ctsrd/beribsd/src/sys/arm/conf/RPI-B#6 (text+ko) ==== @@ -15,7 +15,7 @@ # If you are in doubt as to the purpose or necessity of a line, check first # in NOTES. # -# $FreeBSD: head/sys/arm/conf/RPI-B 256959 2013-10-23 12:29:39Z loos $ +# $FreeBSD: head/sys/arm/conf/RPI-B 257062 2013-10-24 16:27:33Z loos $ ident RPI-B @@ -107,6 +107,10 @@ device mii device smsc +# SPI +device spibus +device bcm2835_spi + # Flattened Device Tree options FDT # Note: DTB is normally loaded and modified by RPi boot loader, then ==== //depot/projects/ctsrd/beribsd/src/sys/arm/mv/gpio.c#5 (text+ko) ==== @@ -29,7 +29,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/mv/gpio.c 256967 2013-10-23 14:04:09Z nwhitehorn $"); +__FBSDID("$FreeBSD: head/sys/arm/mv/gpio.c 257015 2013-10-23 19:56:13Z nwhitehorn $"); #include #include @@ -638,7 +638,7 @@ * contain a ref. to a node defining GPIO * controller. */ - ctrl = OF_xref_handle(fdt32_to_cpu(gpios[0])); + ctrl = OF_xref_phandle(fdt32_to_cpu(gpios[0])); if (fdt_is_compatible(ctrl, e->compat)) /* Call a handler. */ ==== //depot/projects/ctsrd/beribsd/src/sys/boot/fdt/dts/bcm2835.dtsi#3 (text+ko) ==== @@ -22,7 +22,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/boot/fdt/dts/bcm2835.dtsi 256959 2013-10-23 12:29:39Z loos $ + * $FreeBSD: head/sys/boot/fdt/dts/bcm2835.dtsi 257062 2013-10-24 16:27:33Z loos $ */ / { @@ -412,6 +412,14 @@ interrupt-parent = <&intc>; }; + spi0 { + compatible = "broadcom,bcm2835-spi", + "broadcom,bcm2708-spi"; + reg = <0x204000 0x20>; + interrupts = <62>; + interrupt-parent = <&intc>; + }; + dma: dma { compatible = "broadcom,bcm2835-dma", "broadcom,bcm2708-dma"; ==== //depot/projects/ctsrd/beribsd/src/sys/cam/ata/ata_da.c#13 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/cam/ata/ata_da.c 256956 2013-10-23 09:54:58Z smh $"); +__FBSDID("$FreeBSD: head/sys/cam/ata/ata_da.c 257054 2013-10-24 14:05:44Z mav $"); #include "opt_ada.h" @@ -80,7 +80,7 @@ ADA_FLAG_CAN_NCQ = 0x0008, ADA_FLAG_CAN_DMA = 0x0010, ADA_FLAG_NEED_OTAG = 0x0020, - ADA_FLAG_WENT_IDLE = 0x0040, + ADA_FLAG_WAS_OTAG = 0x0040, ADA_FLAG_CAN_TRIM = 0x0080, ADA_FLAG_OPEN = 0x0100, ADA_FLAG_SCTX_INIT = 0x0200, @@ -130,12 +130,12 @@ struct ada_softc { struct bio_queue_head bio_queue; struct bio_queue_head trim_queue; + int outstanding_cmds; /* Number of active commands */ + int refcount; /* Active xpt_action() calls */ ada_state state; - ada_flags flags; + ada_flags flags; ada_quirks quirks; int sort_io_queue; - int ordered_tag_count; - int outstanding_cmds; int trim_max_ranges; int trim_running; int read_ahead; @@ -153,7 +153,6 @@ struct sysctl_oid *sysctl_tree; struct callout sendordered_c; struct trim_request trim_req; - int refcount; }; struct ada_quirk_entry { @@ -1489,7 +1488,7 @@ if ((bp->bio_flags & BIO_ORDERED) != 0 || (softc->flags & ADA_FLAG_NEED_OTAG) != 0) { softc->flags &= ~ADA_FLAG_NEED_OTAG; - softc->ordered_tag_count++; + softc->flags |= ADA_FLAG_WAS_OTAG; tag_code = 0; } else { tag_code = 1; @@ -1743,7 +1742,7 @@ } softc->outstanding_cmds--; if (softc->outstanding_cmds == 0) - softc->flags |= ADA_FLAG_WENT_IDLE; + softc->flags |= ADA_FLAG_WAS_OTAG; xpt_release_ccb(done_ccb); if (state == ADA_CCB_TRIM) { TAILQ_HEAD(, bio) queue; @@ -1905,14 +1904,11 @@ struct ada_softc *softc = arg; if (ada_send_ordered) { - if ((softc->ordered_tag_count == 0) - && ((softc->flags & ADA_FLAG_WENT_IDLE) == 0)) { - softc->flags |= ADA_FLAG_NEED_OTAG; + if (softc->outstanding_cmds > 0) { + if ((softc->flags & ADA_FLAG_WAS_OTAG) == 0) + softc->flags |= ADA_FLAG_NEED_OTAG; + softc->flags &= ~ADA_FLAG_WAS_OTAG; } - if (softc->outstanding_cmds > 0) - softc->flags &= ~ADA_FLAG_WENT_IDLE; - - softc->ordered_tag_count = 0; } /* Queue us up again */ callout_reset(&softc->sendordered_c, ==== //depot/projects/ctsrd/beribsd/src/sys/cam/scsi/scsi_da.c#14 (text+ko) ==== @@ -27,7 +27,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/cam/scsi/scsi_da.c 256956 2013-10-23 09:54:58Z smh $"); +__FBSDID("$FreeBSD: head/sys/cam/scsi/scsi_da.c 257054 2013-10-24 14:05:44Z mav $"); #include @@ -84,7 +84,7 @@ DA_FLAG_PACK_LOCKED = 0x004, DA_FLAG_PACK_REMOVABLE = 0x008, DA_FLAG_NEED_OTAG = 0x020, - DA_FLAG_WENT_IDLE = 0x040, + DA_FLAG_WAS_OTAG = 0x040, DA_FLAG_RETRY_UA = 0x080, DA_FLAG_OPEN = 0x100, DA_FLAG_SCTX_INIT = 0x200, @@ -198,19 +198,17 @@ struct bio_queue_head bio_queue; struct bio_queue_head delete_queue; struct bio_queue_head delete_run_queue; - SLIST_ENTRY(da_softc) links; LIST_HEAD(, ccb_hdr) pending_ccbs; + int tur; /* TEST UNIT READY should be sent */ + int refcount; /* Active xpt_action() calls */ da_state state; da_flags flags; da_quirks quirks; int sort_io_queue; int minimum_cmd_size; int error_inject; - int ordered_tag_count; - int outstanding_cmds; int trim_max_ranges; int delete_running; - int tur; int delete_available; /* Delete methods possibly available */ uint32_t unmap_max_ranges; uint32_t unmap_max_lba; @@ -228,7 +226,6 @@ uint8_t unmap_buf[UNMAP_BUF_SIZE]; struct scsi_read_capacity_data_long rcaplong; struct callout mediapoll_c; - int refcount; }; #define dadeleteflag(softc, delete_method, enable) \ @@ -2244,7 +2241,7 @@ if ((bp->bio_flags & BIO_ORDERED) != 0 || (softc->flags & DA_FLAG_NEED_OTAG) != 0) { softc->flags &= ~DA_FLAG_NEED_OTAG; - softc->ordered_tag_count++; + softc->flags |= DA_FLAG_WAS_OTAG; tag_code = MSG_ORDERED_Q_TAG; } else { tag_code = MSG_SIMPLE_Q_TAG; @@ -2297,13 +2294,8 @@ start_ccb->ccb_h.flags |= CAM_UNLOCKED; out: - /* - * Block out any asynchronous callbacks - * while we touch the pending ccb list. - */ LIST_INSERT_HEAD(&softc->pending_ccbs, &start_ccb->ccb_h, periph_links.le); - softc->outstanding_cmds++; /* We expect a unit attention from this device */ if ((softc->flags & DA_FLAG_RETRY_UA) != 0) { @@ -2969,14 +2961,9 @@ } } - /* - * Block out any asynchronous callbacks - * while we touch the pending ccb list. - */ LIST_REMOVE(&done_ccb->ccb_h, periph_links.le); - softc->outstanding_cmds--; - if (softc->outstanding_cmds == 0) - softc->flags |= DA_FLAG_WENT_IDLE; + if (LIST_EMPTY(&softc->pending_ccbs)) + softc->flags |= DA_FLAG_WAS_OTAG; xpt_release_ccb(done_ccb); if (state == DA_CCB_DELETE) { @@ -3583,7 +3570,7 @@ struct cam_periph *periph = arg; struct da_softc *softc = periph->softc; - if (!softc->tur && softc->outstanding_cmds == 0) { + if (!softc->tur && LIST_EMPTY(&softc->pending_ccbs)) { if (cam_periph_acquire(periph) == CAM_REQ_CMP) { softc->tur = 1; daschedule(periph); @@ -3755,14 +3742,11 @@ struct da_softc *softc = arg; if (da_send_ordered) { - if ((softc->ordered_tag_count == 0) - && ((softc->flags & DA_FLAG_WENT_IDLE) == 0)) { - softc->flags |= DA_FLAG_NEED_OTAG; + if (!LIST_EMPTY(&softc->pending_ccbs)) { + if ((softc->flags & DA_FLAG_WAS_OTAG) == 0) + softc->flags |= DA_FLAG_NEED_OTAG; + softc->flags &= ~DA_FLAG_WAS_OTAG; } - if (softc->outstanding_cmds > 0) - softc->flags &= ~DA_FLAG_WENT_IDLE; - - softc->ordered_tag_count = 0; } /* Queue us up again */ callout_reset(&softc->sendordered_c, ==== //depot/projects/ctsrd/beribsd/src/sys/conf/NOTES#13 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/conf/NOTES 256377 2013-10-12 12:57:57Z markm $ +# $FreeBSD: head/sys/conf/NOTES 257068 2013-10-24 19:49:55Z pluknet $ # # NOTES -- Lines that can be cut/pasted into kernel and hints configs. # @@ -127,7 +127,7 @@ # devices and DFLTPHYS for the rest. Some applications have better # performance with larger raw I/O access sizes. Note that certain VM # parameters are derived from these values and making them too large -# can make an an unbootable kernel. +# can make an unbootable kernel. # # The defaults are 64K and 128K respectively. options DFLTPHYS=(64*1024) @@ -1281,8 +1281,7 @@ # to incoming commands that do not otherwise have a logical unit assigned # to them. # -# The "unknown" device (uk? in pre-2.0.5) is now part of the base SCSI -# configuration as the "pass" driver. +# The pass driver provides a passthrough API to access the CAM subsystem. device scbus #base SCSI code device ch #SCSI media changers ==== //depot/projects/ctsrd/beribsd/src/sys/conf/files#26 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/conf/files 256961 2013-10-23 13:09:57Z loos $ +# $FreeBSD: head/sys/conf/files 257064 2013-10-24 16:56:38Z loos $ # # The long compile-with and dependency lines are required because of # limitations in config: backslash-newline doesn't work in strings, and @@ -2177,6 +2177,7 @@ dev/sound/midi/mpufoi_if.m optional sound dev/sound/midi/sequencer.c optional sound dev/sound/midi/synth_if.m optional sound +dev/spibus/ofw_spibus.c optional fdt spibus dev/spibus/spibus.c optional spibus \ dependency "spibus_if.h" dev/spibus/spibus_if.m optional spibus ==== //depot/projects/ctsrd/beribsd/src/sys/conf/files.powerpc#13 (text+ko) ==== @@ -1,7 +1,7 @@ # This file tells config what files go into building a kernel, # files marked standard are always included. # -# $FreeBSD: head/sys/conf/files.powerpc 256898 2013-10-22 14:07:57Z nwhitehorn $ +# $FreeBSD: head/sys/conf/files.powerpc 257016 2013-10-23 20:00:14Z nwhitehorn $ # # The long compile-with and dependency lines are required because of # limitations in config: backslash-newline doesn't work in strings, and @@ -46,6 +46,7 @@ dev/ofw/ofw_console.c optional aim dev/ofw/ofw_disk.c optional ofwd aim dev/ofw/ofw_iicbus.c optional iicbus aim +dev/ofw/ofw_nexus.c optional aim | fdt dev/ofw/ofw_standard.c optional aim powerpc dev/powermac_nvram/powermac_nvram.c optional powermac_nvram powermac dev/quicc/quicc_bfe_fdt.c optional quicc mpc85xx ==== //depot/projects/ctsrd/beribsd/src/sys/dev/fdt/fdt_common.c#12 (text+ko) ==== @@ -28,7 +28,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_common.c 256967 2013-10-23 14:04:09Z nwhitehorn $"); +__FBSDID("$FreeBSD: head/sys/dev/fdt/fdt_common.c 257060 2013-10-24 15:44:29Z nwhitehorn $"); #include #include @@ -514,7 +514,7 @@ pcell_t *intr; pcell_t intr_cells; int interrupt, trig, pol; - int i, intr_num, irq, rv; + int i, intr_num, rv; if (OF_getproplen(node, "interrupts") <= 0) /* Node does not have 'interrupts' property. */ @@ -566,8 +566,7 @@ intr_sl[i].trig = trig; intr_sl[i].pol = pol; - irq = FDT_MAP_IRQ(iph, interrupt); - resource_list_add(rl, SYS_RES_IRQ, i, irq, irq, 1); + resource_list_add(rl, SYS_RES_IRQ, i, interrupt, interrupt, 1); } out: ==== //depot/projects/ctsrd/beribsd/src/sys/dev/iscsi/icl.c#2 (text+ko) ==== @@ -26,7 +26,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/dev/iscsi/icl.c 256228 2013-10-09 19:28:56Z trasz $ + * $FreeBSD: head/sys/dev/iscsi/icl.c 257061 2013-10-24 15:54:06Z trasz $ */ /* @@ -723,11 +723,7 @@ for (;;) { if (ic->ic_disconnecting) { //ICL_DEBUG("terminating"); - ICL_CONN_LOCK(ic); - ic->ic_receive_running = false; - ICL_CONN_UNLOCK(ic); - kthread_exit(); - return; + break; } SOCKBUF_LOCK(&so->so_rcv); @@ -740,6 +736,11 @@ icl_conn_receive_pdus(ic, available); } + + ICL_CONN_LOCK(ic); + ic->ic_receive_running = false; + ICL_CONN_UNLOCK(ic); + kthread_exit(); } static int @@ -879,22 +880,19 @@ ICL_CONN_LOCK(ic); ic->ic_send_running = true; - ICL_CONN_UNLOCK(ic); for (;;) { - ICL_CONN_LOCK(ic); if (ic->ic_disconnecting) { //ICL_DEBUG("terminating"); - ic->ic_send_running = false; - ICL_CONN_UNLOCK(ic); - kthread_exit(); - return; + break; } - if (TAILQ_EMPTY(&ic->ic_to_send)) - cv_wait(&ic->ic_send_cv, &ic->ic_lock); icl_conn_send_pdus(ic); - ICL_CONN_UNLOCK(ic); + cv_wait(&ic->ic_send_cv, &ic->ic_lock); } + + ic->ic_send_running = false; + ICL_CONN_UNLOCK(ic); + kthread_exit(); } static int ==== //depot/projects/ctsrd/beribsd/src/sys/dev/iwn/if_iwn.c#5 (text+ko) ==== @@ -26,7 +26,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/iwn/if_iwn.c 255023 2013-08-29 13:56:44Z adrian $"); +__FBSDID("$FreeBSD: head/sys/dev/iwn/if_iwn.c 257035 2013-10-24 01:03:42Z adrian $"); #include "opt_wlan.h" #include "opt_iwn.h" @@ -77,6 +77,7 @@ #include #include #include +#include struct iwn_ident { uint16_t vendor; @@ -331,80 +332,6 @@ static void iwn_debug_register(struct iwn_softc *); #endif -#ifdef IWN_DEBUG -enum { - IWN_DEBUG_XMIT = 0x00000001, /* basic xmit operation */ - IWN_DEBUG_RECV = 0x00000002, /* basic recv operation */ - IWN_DEBUG_STATE = 0x00000004, /* 802.11 state transitions */ - IWN_DEBUG_TXPOW = 0x00000008, /* tx power processing */ - IWN_DEBUG_RESET = 0x00000010, /* reset processing */ - IWN_DEBUG_OPS = 0x00000020, /* iwn_ops processing */ - IWN_DEBUG_BEACON = 0x00000040, /* beacon handling */ - IWN_DEBUG_WATCHDOG = 0x00000080, /* watchdog timeout */ - IWN_DEBUG_INTR = 0x00000100, /* ISR */ - IWN_DEBUG_CALIBRATE = 0x00000200, /* periodic calibration */ - IWN_DEBUG_NODE = 0x00000400, /* node management */ - IWN_DEBUG_LED = 0x00000800, /* led management */ - IWN_DEBUG_CMD = 0x00001000, /* cmd submission */ - IWN_DEBUG_TXRATE = 0x00002000, /* TX rate debugging */ - IWN_DEBUG_PWRSAVE = 0x00004000, /* Power save operations */ - IWN_DEBUG_REGISTER = 0x20000000, /* print chipset register */ - IWN_DEBUG_TRACE = 0x40000000, /* Print begin and start driver function */ - IWN_DEBUG_FATAL = 0x80000000, /* fatal errors */ - IWN_DEBUG_ANY = 0xffffffff -}; - -#define DPRINTF(sc, m, fmt, ...) do { \ - if (sc->sc_debug & (m)) \ - printf(fmt, __VA_ARGS__); \ -} while (0) - -static const char * -iwn_intr_str(uint8_t cmd) -{ - switch (cmd) { - /* Notifications */ - case IWN_UC_READY: return "UC_READY"; - case IWN_ADD_NODE_DONE: return "ADD_NODE_DONE"; - case IWN_TX_DONE: return "TX_DONE"; - case IWN_START_SCAN: return "START_SCAN"; - case IWN_STOP_SCAN: return "STOP_SCAN"; - case IWN_RX_STATISTICS: return "RX_STATS"; - case IWN_BEACON_STATISTICS: return "BEACON_STATS"; - case IWN_STATE_CHANGED: return "STATE_CHANGED"; - case IWN_BEACON_MISSED: return "BEACON_MISSED"; - case IWN_RX_PHY: return "RX_PHY"; - case IWN_MPDU_RX_DONE: return "MPDU_RX_DONE"; - case IWN_RX_DONE: return "RX_DONE"; - - /* Command Notifications */ - case IWN_CMD_RXON: return "IWN_CMD_RXON"; - case IWN_CMD_RXON_ASSOC: return "IWN_CMD_RXON_ASSOC"; - case IWN_CMD_EDCA_PARAMS: return "IWN_CMD_EDCA_PARAMS"; - case IWN_CMD_TIMING: return "IWN_CMD_TIMING"; - case IWN_CMD_LINK_QUALITY: return "IWN_CMD_LINK_QUALITY"; - case IWN_CMD_SET_LED: return "IWN_CMD_SET_LED"; - case IWN5000_CMD_WIMAX_COEX: return "IWN5000_CMD_WIMAX_COEX"; - case IWN5000_CMD_CALIB_CONFIG: return "IWN5000_CMD_CALIB_CONFIG"; - case IWN5000_CMD_CALIB_RESULT: return "IWN5000_CMD_CALIB_RESULT"; - case IWN5000_CMD_CALIB_COMPLETE: return "IWN5000_CMD_CALIB_COMPLETE"; - case IWN_CMD_SET_POWER_MODE: return "IWN_CMD_SET_POWER_MODE"; - case IWN_CMD_SCAN: return "IWN_CMD_SCAN"; - case IWN_CMD_SCAN_RESULTS: return "IWN_CMD_SCAN_RESULTS"; - case IWN_CMD_TXPOWER: return "IWN_CMD_TXPOWER"; - case IWN_CMD_TXPOWER_DBM: return "IWN_CMD_TXPOWER_DBM"; - case IWN5000_CMD_TX_ANT_CONFIG: return "IWN5000_CMD_TX_ANT_CONFIG"; - case IWN_CMD_BT_COEX: return "IWN_CMD_BT_COEX"; - case IWN_CMD_SET_CRITICAL_TEMP: return "IWN_CMD_SET_CRITICAL_TEMP"; - case IWN_CMD_SET_SENSITIVITY: return "IWN_CMD_SET_SENSITIVITY"; - case IWN_CMD_PHY_CALIB: return "IWN_CMD_PHY_CALIB"; - } - return "UNKNOWN INTR NOTIF/CMD"; -} -#else -#define DPRINTF(sc, m, fmt, ...) do { (void) sc; } while (0) -#endif - static device_method_t iwn_methods[] = { /* Device interface */ DEVMETHOD(device_probe, iwn_probe), ==== //depot/projects/ctsrd/beribsd/src/sys/dev/iwn/if_iwnreg.h#4 (text+ko) ==== @@ -1,4 +1,4 @@ -/* $FreeBSD: head/sys/dev/iwn/if_iwnreg.h 253898 2013-08-02 21:28:36Z adrian $ */ +/* $FreeBSD: head/sys/dev/iwn/if_iwnreg.h 257034 2013-10-24 01:02:54Z adrian $ */ /* $OpenBSD: if_iwnreg.h,v 1.40 2010/05/05 19:41:57 damien Exp $ */ /*- @@ -17,6 +17,8 @@ * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. */ +#ifndef __IF_IWNREG_H__ +#define __IF_IWNREG_H__ #define IWN_CT_KILL_THRESHOLD 114 /* in Celsius */ #define IWN_CT_KILL_EXIT_THRESHOLD 95 /* in Celsius */ @@ -2052,3 +2054,5 @@ #define IWN_BARRIER_READ_WRITE(sc) \ bus_space_barrier((sc)->sc_st, (sc)->sc_sh, 0, (sc)->sc_sz, \ BUS_SPACE_BARRIER_READ | BUS_SPACE_BARRIER_WRITE) + +#endif /* __IF_IWNREG_H__ */ ==== //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pci.c#9 (text+ko) ==== @@ -27,7 +27,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/dev/pci/pci.c 253450 2013-07-18 15:17:11Z jhb $"); +__FBSDID("$FreeBSD: head/sys/dev/pci/pci.c 257072 2013-10-24 20:29:29Z kib $"); #include "opt_bus.h" @@ -70,10 +70,6 @@ #include "pcib_if.h" #include "pci_if.h" -#if (BUS_SPACE_MAXADDR > 0xFFFFFFFF) -#define PCI_DMA_BOUNDARY 0x100000000 -#endif - #define PCIR_IS_BIOS(cfg, reg) \ (((cfg)->hdrtype == PCIM_HDRTYPE_NORMAL && reg == PCIR_BIOS) || \ ((cfg)->hdrtype == PCIM_HDRTYPE_BRIDGE && reg == PCIR_BIOS_1)) @@ -100,7 +96,6 @@ static int pci_describe_parse_line(char **ptr, int *vendor, int *device, char **desc); static char *pci_describe_device(device_t dev); -static bus_dma_tag_t pci_get_dma_tag(device_t bus, device_t dev); static int pci_modevent(module_t mod, int what, void *arg); static void pci_hdrtypedata(device_t pcib, int b, int s, int f, pcicfgregs *cfg); ==== //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pci_private.h#6 (text+ko) ==== @@ -25,7 +25,7 @@ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * - * $FreeBSD: head/sys/dev/pci/pci_private.h 253450 2013-07-18 15:17:11Z jhb $ + * $FreeBSD: head/sys/dev/pci/pci_private.h 257072 2013-10-24 20:29:29Z kib $ * */ @@ -116,6 +116,7 @@ int pci_assign_interrupt_method(device_t dev, device_t child); int pci_resume(device_t dev); int pci_suspend(device_t dev); +bus_dma_tag_t pci_get_dma_tag(device_t bus, device_t dev); /** Restore the config register state. The state must be previously * saved with pci_cfg_save. However, the pci bus driver takes care of ==== //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pcireg.h#7 (text+ko) ==== @@ -23,7 +23,7 @@ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * - * $FreeBSD: head/sys/dev/pci/pcireg.h 250740 2013-05-17 14:04:31Z kib $ + * $FreeBSD: head/sys/dev/pci/pcireg.h 257071 2013-10-24 20:25:29Z kib $ * */ @@ -762,8 +762,17 @@ #define PCIEM_SLOT_STA_EIS 0x0080 #define PCIEM_SLOT_STA_DLLSC 0x0100 #define PCIER_ROOT_CTL 0x1c +#define PCIEM_ROOT_CTL_SERR_CORR 0x0001 +#define PCIEM_ROOT_CTL_SERR_NONFATAL 0x0002 +#define PCIEM_ROOT_CTL_SERR_FATAL 0x0004 +#define PCIEM_ROOT_CTL_PME 0x0008 +#define PCIEM_ROOT_CTL_CRS_VIS 0x0010 #define PCIER_ROOT_CAP 0x1e +#define PCIEM_ROOT_CAP_CRS_VIS 0x0001 #define PCIER_ROOT_STA 0x20 +#define PCIEM_ROOT_STA_PME_REQID_MASK 0x0000ffff +#define PCIEM_ROOT_STA_PME_STATUS 0x00010000 +#define PCIEM_ROOT_STA_PME_PEND 0x00020000 #define PCIER_DEVICE_CAP2 0x24 #define PCIER_DEVICE_CTL2 0x28 #define PCIEM_CTL2_COMP_TIMEOUT_VAL 0x000f ==== //depot/projects/ctsrd/beribsd/src/sys/dev/pci/pcivar.h#6 (text+ko) ==== @@ -23,7 +23,7 @@ * (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF * THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. * - * $FreeBSD: head/sys/dev/pci/pcivar.h 254882 2013-08-25 18:09:11Z dumbbell $ + * $FreeBSD: head/sys/dev/pci/pcivar.h 257070 2013-10-24 20:21:37Z kib $ * */ @@ -499,6 +499,15 @@ void pci_save_state(device_t dev); int pci_set_max_read_req(device_t dev, int size); + +#ifdef BUS_SPACE_MAXADDR +#if (BUS_SPACE_MAXADDR > 0xFFFFFFFF) +#define PCI_DMA_BOUNDARY 0x100000000 +#else +#define PCI_DMA_BOUNDARY 0 +#endif +#endif + #endif /* _SYS_BUS_H_ */ /* ==== //depot/projects/ctsrd/beribsd/src/sys/dev/spibus/spibus.c#5 (text+ko) ==== @@ -1,5 +1,5 @@ #include -__FBSDID("$FreeBSD: head/sys/dev/spibus/spibus.c 239626 2012-08-23 22:38:37Z imp $"); +__FBSDID("$FreeBSD: head/sys/dev/spibus/spibus.c 257064 2013-10-24 16:56:38Z loos $"); #include #include @@ -23,7 +23,7 @@ spibus_probe(device_t dev) { device_set_desc(dev, "spibus bus"); - return (0); + return (BUS_PROBE_GENERIC); >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Thu Oct 24 23:51:40 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 71F4EDE6; Thu, 24 Oct 2013 23:51:40 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 32B2CDE4 for ; Thu, 24 Oct 2013 23:51:40 +0000 (UTC) (envelope-from brooks@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 1D2FD2876 for ; Thu, 24 Oct 2013 23:51:40 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9ONpeNR024306 for ; Thu, 24 Oct 2013 23:51:40 GMT (envelope-from brooks@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9ONpdb4024300 for perforce@freebsd.org; Thu, 24 Oct 2013 23:51:39 GMT (envelope-from brooks@freebsd.org) Date: Thu, 24 Oct 2013 23:51:39 GMT Message-Id: <201310242351.r9ONpdb4024300@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to brooks@freebsd.org using -f From: Brooks Davis Subject: PERFORCE change 1187366 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Thu, 24 Oct 2013 23:51:40 -0000 http://p4web.freebsd.org/@@1187366?ac=10 Change 1187366 by brooks@brooks_zenith on 2013/10/24 23:50:53 Integrate from FreeBSD/BERI including FreeBSD as of @1187364. Affected files ... .. //depot/projects/ctsrd/cheribsd/src/Makefile.inc1#14 integrate .. //depot/projects/ctsrd/cheribsd/src/ObsoleteFiles.inc#12 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Apps/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Apps/httpdstat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Apps/nfswizard.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Apps/shellsnoop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Apps/weblatency.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Java/Func_abc.java#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Java/Func_loop.java#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/JavaScript/func_clock.html#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/JavaScript/func_slow.html#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/func_abc.pl#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/func_malloc.pl#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/func_slow.pl#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/hello.pl#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Perl/hello_strict.pl#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Php/func_abc.php#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Python/func_abc.py#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Python/func_slow.py#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Ruby/func_abc.rb#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Ruby/func_slow.rb#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Shell/func_abc.sh#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Shell/func_slow.sh#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Shell/func_waste.sh#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Tcl/func_abc.tcl#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Code/Tcl/func_slow.tcl#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/cputypes.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/cpuwalk.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/dispqlen.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/intbycpu.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/intoncpu.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/inttimes.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/loads.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/runocc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Cpu/xcallsbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/bitesize.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/diskhits#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/hotspot.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/iofile.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/iofileb.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/iopending#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Disk/seeksize.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/Contents#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/Faq#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/History#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/Links#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/Maintainer#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/ToDo#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/Who#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/cddl1.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Docs/oneliners.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/Copyright#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/anonpgpid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/bitesize_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/connections_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/cpudists_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/cputimes_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/cputypes_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/cpuwalk_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/crash_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/creatbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/cswstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dappprof_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dapptrace_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dexplorer_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/diskhits_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dispqlen_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dnlcps_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dnlcsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dnlcstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dtruss_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/dvmstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/errinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/execsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/fddist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/filebyproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/fspaging_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/fsrw_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/guess_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/hotkernel_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/hotspot_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/hotuser_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/httpdstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/icmpstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/intbycpu_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/intoncpu_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/inttimes_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/iofile_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/iofileb_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/iopattern_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/iopending_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/iosnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/iotop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_calls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_classflow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_events_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_methodcalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_objnew_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_package_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_profile_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_stat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_thread_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/j_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_calls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_execs_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_objcpu_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_objgc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_objnew_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_stat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/js_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/kill_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/kstat_types_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/lastwords_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/loads_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/lockbydist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/lockbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/minfbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/minfbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/mmapfiles_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/modcalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/newproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/nfswizard_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/oneliners_examples.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/opensnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pathopens_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pfilestat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pgpginbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pgpginbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_funccalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/php_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pidpersec_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_subcalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pl_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/priclass_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/pridist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/procsystime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/putnexts_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_funccalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_mallocstk_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_profile_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/py_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_calls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_funccalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_lines_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_malloc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_objcpu_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_objnew_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_stat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rb_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/readbytes_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/readdist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rfileio_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rfsio_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/runocc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rwbbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rwbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rwbytype_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rwsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/rwtop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sampleproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sar-c_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/seeksize_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/setuids_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_calls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_flowinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_lines_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_pidcolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_stat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_wasted_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sh_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/shellsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/shortlived_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sigdist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/stacksize_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/statsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/swapinfo_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/sysbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/syscallbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/syscallbyproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/syscallbysysc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_calldist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_calls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_calltime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_cpudist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_cputime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_flow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_flowtime_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_ins_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_insflow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_proccalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_procflow_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_stat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_syscalls_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_syscolors_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcl_who_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpsnoop_d_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpsnoop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcptop_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/tcpwdist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/threaded_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/topsyscall_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/topsysproc_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/udpstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/uname-a_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/vmbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/vmstat-p_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/vmstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/vopstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/weblatency_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/whatexec_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/woof_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/wpm_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/writebytes_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/writedist_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/xcallsbypid_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/xvmstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Examples/zvmstat_example.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/FS/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/FS/fspaging.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/FS/fsrw.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/FS/rfileio.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/FS/rfsio.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/FS/vopstat#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Guide#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Include/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Include/test.ksh#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Include/time.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Include/tostr.h#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_calls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_classflow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_events.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_methodcalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_objnew.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_package.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_profile.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_stat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_syscalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_syscolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_thread.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Java/j_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_calls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_execs.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_flowinfo.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_objcpu.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_objgc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_objnew.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_stat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/JavaScript/js_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/cpudists#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/cputimes#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/cswstat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/dnlcps.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/dnlcsnoop.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/dnlcstat#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/kstat_types.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/modcalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/priclass.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/pridist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/putnexts.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Kernel/whatexec.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Locks/lockbydist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Locks/lockbyproc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/anonpgpid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/bitesize.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/connections.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cpudists.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cputimes.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cputypes.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cpuwalk.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/crash.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/creatbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/cswstat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dappprof.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dapptrace.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dexplorer.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/diskhits.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dispqlen.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dnlcps.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dnlcsnoop.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dnlcstat.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dtruss.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/dvmstat.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/errinfo.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/execsnoop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/fddist.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/filebyproc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/fspaging.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/fsrw.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/guess.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/hotkernel.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/hotspot.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/hotuser.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/httpdstat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/icmpstat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/intbycpu.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/intoncpu.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/inttimes.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iofile.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iofileb.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iopattern.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iopending.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iosnoop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/iotop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_calls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_classflow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_events.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_methodcalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_objnew.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_package.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_profile.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_stat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_thread.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/j_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_calls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_execs.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_objcpu.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_objgc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_objnew.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_stat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/js_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/kill.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/kstat_types.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/lastwords.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/loads.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/lockbydist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/lockbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/minfbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/minfbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/mmapfiles.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/modcalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/newproc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/nfswizard.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/opensnoop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pathopens.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pfilestat.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pgpginbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pgpginbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_funccalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/php_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pidpersec.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_subcalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pl_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/priclass.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/pridist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/procsystime.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/putnexts.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_funccalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_mallocstk.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_profile.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/py_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_calls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_funccalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_lines.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_malloc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_objcpu.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_objnew.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_stat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rb_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/readbytes.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/readdist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rfileio.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rfsio.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/runocc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwbbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwbytype.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwsnoop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/rwtop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sampleproc.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sar-c.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/seeksize.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/setuids.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_calls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_flowinfo.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_lines.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_pidcolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_stat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_wasted.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sh_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/shellsnoop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/shortlived.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sigdist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/stacksize.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/statsnoop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/swapinfo.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/sysbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/syscallbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/syscallbyproc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/syscallbysysc.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_calldist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_calls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_calltime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_cpudist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_cputime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_flow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_flowtime.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_ins.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_insflow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_proccalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_procflow.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_stat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_syscalls.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_syscolors.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcl_who.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop_snv.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpsnoop_snv.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpstat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcptop.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcptop_snv.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/tcpwdist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/threaded.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/topsyscall.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/topsysproc.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/udpstat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/uname-a.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vmbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vmstat-p.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vmstat.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/vopstat.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/weblatency.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/whatexec.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/woof.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/wpm.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/writebytes.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/writedist.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/xcallsbypid.d.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/xvmstat.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Man/man1m/zvmstat.1m#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/anonpgpid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/minfbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/minfbyproc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/pgpginbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/pgpginbyproc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/swapinfo.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/vmbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/vmstat-p.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/vmstat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Mem/xvmstat#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Misc/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Misc/guess.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Misc/woof.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Misc/wpm.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/connections#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/icmpstat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop_snv#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcpsnoop_snv.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcpstat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcptop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcptop_snv#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/tcpwdist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Net/udpstat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLcolors_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLelapsed_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLexclusive_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLfbt_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLflow_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLinclusive_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLjava_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLoncpu_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLoverhead.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLperl_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/ALLsnoop_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/cputimes_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/dappprof_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/dapptrace_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/dtruss_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/iosnoop_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/iotop_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Notes/procsystime_notes.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_flowinfo.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_malloc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_subcalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_syscalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_syscolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Perl/pl_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_flowinfo.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_funccalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_malloc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_syscalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_syscolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Php/php_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/crash.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/creatbyproc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/dappprof#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/dapptrace#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/fddist#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/filebyproc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/kill.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/lastwords#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/mmapfiles.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/newproc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/pathopens.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/pfilestat#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/pidpersec.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/readbytes.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/readdist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/rwbbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/rwbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/rwbytype.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/sampleproc#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/shortlived.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/sigdist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/stacksize.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/sysbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/syscallbypid.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/syscallbyproc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/threaded.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/topsysproc#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/writebytes.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Proc/writedist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_flowinfo.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_funccalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_malloc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_mallocstk.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_profile.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_syscalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_syscolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Python/py_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_calls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_flowinfo.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_funccalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_lines.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_malloc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_objcpu.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_objnew.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_stat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_syscalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_syscolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Ruby/rb_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_calls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_flowinfo.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_lines.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_pidcolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_stat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_syscalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_syscolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_wasted.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Shell/sh_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Snippits/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Snippits/fd2pathname.txt#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/System/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/System/sar-c.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/System/syscallbysysc.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/System/topsyscall#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/System/uname-a.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_calldist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_calls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_calltime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_cpudist.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_cputime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_flow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_flowtime.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_ins.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_insflow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_proccalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_procflow.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_stat.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_syscalls.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_syscolors.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Tcl/tcl_who.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/User/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/User/setuids.d#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Version#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Zones/Readme#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/Zones/zvmstat#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/dexplorer#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/dtruss#3 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/dvmstat#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/errinfo#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/execsnoop#3 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/hotkernel#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/hotuser#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/install#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/iopattern#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/iosnoop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/iotop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/opensnoop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/procsystime#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/rwsnoop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/rwtop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/dtracetoolkit/statsnoop#2 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/opensolaris/cmd/zfs/zfs.8#7 integrate .. //depot/projects/ctsrd/cheribsd/src/cddl/contrib/opensolaris/cmd/zfs/zfs_main.c#7 integrate .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/CHANGES#6 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/COPYRIGHT#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/FAQ#2 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/FAQ.xml#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/README#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/aclocal.m4#2 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/check/check-tool.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/check/named-checkconf.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/check/named-checkzone.8#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/check/named-checkzone.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/check/named-checkzone.docbook#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/check/named-checkzone.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/confgen/keygen.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/confgen/rndc-confgen.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dig/dig.1#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dig/dig.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dig/dig.docbook#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dig/dig.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dig/dighost.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dig/host.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dig/include/dig/dig.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dnssec/dnssec-keyfromlabel.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dnssec/dnssec-keygen.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dnssec/dnssec-revoke.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dnssec/dnssec-settime.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/dnssec/dnssec-signzone.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/client.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/config.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/control.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/controlconf.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/include/named/client.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/include/named/globals.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/include/named/server.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/interfacemgr.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/log.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/logconf.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/lwresd.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/main.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/named.conf.5#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/named.conf.docbook#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/named.conf.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/query.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/server.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/statschannel.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/tkeyconf.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/tsigconf.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/unix/dlz_dlopen_driver.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/update.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/xfrout.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/named/zoneconf.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/nsupdate/nsupdate.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/rndc/rndc.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/tools/genrandom.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/tools/isc-hmac-fixup.8#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/tools/isc-hmac-fixup.docbook#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/bin/tools/isc-hmac-fixup.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/config.h.in#5 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/config.threads.in#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/configure.in#5 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM-book.xml#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch01.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch02.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch03.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch04.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch05.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch06.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch07.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch08.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch09.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.ch10.html#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/Bv9ARM.pdf#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.arpaname.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.ddns-confgen.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.dig.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.dnssec-dsfromkey.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.dnssec-keyfromlabel.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.dnssec-keygen.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.dnssec-revoke.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.dnssec-settime.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.dnssec-signzone.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.genrandom.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.host.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.isc-hmac-fixup.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.named-checkconf.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.named-checkzone.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.named-journalprint.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.named.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.nsec3hash.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.nsupdate.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.rndc-confgen.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.rndc.conf.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/man.rndc.html#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/arm/pkcs11.xml#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/doc/misc/options#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/isc-config.sh.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/bind9/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/bind9/api#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/bind9/check.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/acache.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/adb.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/api#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/cache.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/client.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/db.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/dispatch.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/dlz.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/dnssec.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/dst_api.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/dst_internal.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/dst_openssl.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/ecdb.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/gen.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/gssapictx.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/acache.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/db.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/message.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/name.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/ncache.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/nsec.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/nsec3.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/rdata.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/result.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/rpz.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/types.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/validator.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/view.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dns/zone.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/include/dst/dst.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/master.c#5 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/message.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/name.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/ncache.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/nsec.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/nsec3.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/openssl_link.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/openssldsa_link.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/opensslecdsa_link.c#2 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/opensslgost_link.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/opensslrsa_link.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/peer.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rbt.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rbtdb.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata.c#5 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata/any_255/tsig_250.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata/generic/dlv_32769.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata/generic/mx_15.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata/generic/sshfp_44.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata/generic/txt_16.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata/in_1/naptr_35.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rdata/in_1/nsap_22.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/request.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/resolver.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/result.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rootns.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/rpz.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/sdb.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/sdlz.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/spnego.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/spnego_asn1.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/ssu.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/ssu_external.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/tkey.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/tsig.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/validator.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/view.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/xfrin.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/dns/zone.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/dns/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/irs/Makefile.in#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/isc/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/isc/include/isc/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/isc/nls/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/isc/nothreads/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/isc/pthreads/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/isc/unix/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/isccfg/Makefile.in#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/samples/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/samples/nsprobe.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/samples/sample-async.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/samples/sample-gai.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/samples/sample-request.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/samples/sample-update.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/export/samples/sample.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/irs/api#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/irs/dnsconf.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/irs/getaddrinfo.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/irs/getnameinfo.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/irs/resconf.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/Makefile.in#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/api#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/buffer.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/Makefile.in#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/buffer.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/file.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/list.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/mem.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/namespace.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/region.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/sockaddr.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/socket.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/task.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/include/isc/timer.h#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/inet_aton.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/mem.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/nothreads/Makefile.in#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/parseint.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/pthreads/thread.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/ratelimiter.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/sockaddr.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/sparc64/include/isc/atomic.h#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/symtab.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/task.c#4 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/taskpool.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/timer.c#3 delete .. //depot/projects/ctsrd/cheribsd/src/contrib/bind9/lib/isc/timer_api.c#3 delete >>> TRUNCATED FOR MAIL (1000 lines) <<< From owner-p4-projects@FreeBSD.ORG Sat Oct 26 14:31:41 2013 Return-Path: Delivered-To: p4-projects@freebsd.org Received: by hub.freebsd.org (Postfix, from userid 32767) id 0693D3F3; Sat, 26 Oct 2013 14:31:41 +0000 (UTC) Delivered-To: perforce@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id BA5F63F1 for ; Sat, 26 Oct 2013 14:31:40 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from skunkworks.freebsd.org (skunkworks.freebsd.org [8.8.178.74]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id A583220D7 for ; Sat, 26 Oct 2013 14:31:40 +0000 (UTC) Received: from skunkworks.freebsd.org ([127.0.1.74]) by skunkworks.freebsd.org (8.14.7/8.14.7) with ESMTP id r9QEVeSQ070510 for ; Sat, 26 Oct 2013 14:31:40 GMT (envelope-from jhb@freebsd.org) Received: (from perforce@localhost) by skunkworks.freebsd.org (8.14.7/8.14.6/Submit) id r9QEVeUY070507 for perforce@freebsd.org; Sat, 26 Oct 2013 14:31:40 GMT (envelope-from jhb@freebsd.org) Date: Sat, 26 Oct 2013 14:31:40 GMT Message-Id: <201310261431.r9QEVeUY070507@skunkworks.freebsd.org> X-Authentication-Warning: skunkworks.freebsd.org: perforce set sender to jhb@freebsd.org using -f From: John Baldwin Subject: PERFORCE change 1187433 for review To: Perforce Change Reviews Precedence: bulk X-BeenThere: p4-projects@freebsd.org X-Mailman-Version: 2.1.14 List-Id: p4 projects tree changes List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sat, 26 Oct 2013 14:31:41 -0000 http://p4web.freebsd.org/@@1187433?ac=10 Change 1187433 by jhb@jhb_pippin on 2013/10/26 14:31:36 IFC @1187432 Affected files ... .. //depot/projects/smpng/share/man/man9/Makefile#40 integrate .. //depot/projects/smpng/share/man/man9/disk.9#4 integrate .. //depot/projects/smpng/share/man/man9/getenv.9#1 branch .. //depot/projects/smpng/sys/arm/allwinner/a10_wdog.c#2 integrate .. //depot/projects/smpng/sys/arm/arm/stack_machdep.c#4 integrate .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_bsc.c#1 branch .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_bscreg.h#1 branch .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_bscvar.h#1 branch .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_gpio.c#4 integrate .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_spi.c#1 branch .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_spireg.h#1 branch .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_spivar.h#1 branch .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_wdog.c#3 integrate .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/dwc_otg_brcm.c#3 delete .. //depot/projects/smpng/sys/arm/broadcom/bcm2835/files.bcm2835#5 integrate .. //depot/projects/smpng/sys/arm/conf/IMX53-QSB#1 branch .. //depot/projects/smpng/sys/arm/conf/RADXA#1 branch .. //depot/projects/smpng/sys/arm/conf/RPI-B#5 integrate .. //depot/projects/smpng/sys/arm/freescale/imx/files.imx53#2 integrate .. //depot/projects/smpng/sys/arm/lpc/if_lpe.c#3 integrate .. //depot/projects/smpng/sys/arm/lpc/lpc_mmc.c#3 integrate .. //depot/projects/smpng/sys/arm/mv/gpio.c#12 integrate .. //depot/projects/smpng/sys/arm/rockchip/bus_space.c#1 branch .. //depot/projects/smpng/sys/arm/rockchip/common.c#1 branch .. //depot/projects/smpng/sys/arm/rockchip/files.rk30xx#1 branch .. //depot/projects/smpng/sys/arm/rockchip/rk30xx_gpio.c#1 branch .. //depot/projects/smpng/sys/arm/rockchip/rk30xx_grf.c#1 branch .. //depot/projects/smpng/sys/arm/rockchip/rk30xx_grf.h#1 branch .. //depot/projects/smpng/sys/arm/rockchip/rk30xx_machdep.c#1 branch .. //depot/projects/smpng/sys/arm/rockchip/rk30xx_pmu.c#1 branch .. //depot/projects/smpng/sys/arm/rockchip/rk30xx_pmu.h#1 branch .. //depot/projects/smpng/sys/arm/rockchip/std.rk30xx#1 branch .. //depot/projects/smpng/sys/arm/xscale/ixp425/if_npe.c#21 integrate .. //depot/projects/smpng/sys/arm/xscale/ixp425/ixp425_mem.c#5 integrate .. //depot/projects/smpng/sys/boot/fdt/dts/bcm2835.dtsi#2 integrate .. //depot/projects/smpng/sys/boot/fdt/dts/beri-sim.dts#1 branch .. //depot/projects/smpng/sys/boot/fdt/dts/beripad-de4.dts#1 branch .. //depot/projects/smpng/sys/boot/fdt/dts/digi-ccwmx53.dts#2 integrate .. //depot/projects/smpng/sys/boot/fdt/dts/imx53-qsb.dts#1 branch .. //depot/projects/smpng/sys/boot/fdt/dts/rk3188-radxa.dts#1 branch .. //depot/projects/smpng/sys/boot/fdt/dts/rk3188.dtsi#1 branch .. //depot/projects/smpng/sys/boot/i386/libi386/Makefile#29 integrate .. //depot/projects/smpng/sys/boot/i386/libi386/smbios.c#10 integrate .. //depot/projects/smpng/sys/boot/uboot/lib/disk.c#10 integrate .. //depot/projects/smpng/sys/boot/uboot/lib/glue.c#11 integrate .. //depot/projects/smpng/sys/cam/ata/ata_da.c#26 integrate .. //depot/projects/smpng/sys/cam/ata/ata_pmp.c#14 integrate .. //depot/projects/smpng/sys/cam/ata/ata_xpt.c#23 integrate .. //depot/projects/smpng/sys/cam/cam_ccb.h#31 integrate .. //depot/projects/smpng/sys/cam/cam_periph.c#57 integrate .. //depot/projects/smpng/sys/cam/cam_periph.h#17 integrate .. //depot/projects/smpng/sys/cam/cam_queue.c#12 integrate .. //depot/projects/smpng/sys/cam/cam_queue.h#8 integrate .. //depot/projects/smpng/sys/cam/cam_sim.c#12 integrate .. //depot/projects/smpng/sys/cam/cam_sim.h#9 integrate .. //depot/projects/smpng/sys/cam/cam_xpt.c#91 integrate .. //depot/projects/smpng/sys/cam/cam_xpt.h#15 integrate .. //depot/projects/smpng/sys/cam/cam_xpt_internal.h#12 integrate .. //depot/projects/smpng/sys/cam/cam_xpt_sim.h#9 integrate .. //depot/projects/smpng/sys/cam/ctl/ctl_backend_block.c#6 integrate .. //depot/projects/smpng/sys/cam/ctl/ctl_frontend_cam_sim.c#6 integrate .. //depot/projects/smpng/sys/cam/ctl/scsi_ctl.c#10 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_all.h#32 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_cd.c#60 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_ch.c#33 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_da.c#114 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_enc.c#8 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_enc_internal.h#3 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_enc_safte.c#4 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_enc_ses.c#8 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_pass.c#37 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_pt.c#25 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_sa.c#48 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_sg.c#18 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_targ_bh.c#20 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_target.c#38 integrate .. //depot/projects/smpng/sys/cam/scsi/scsi_xpt.c#17 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/arc.c#28 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/vdev.h#12 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/vdev_impl.h#13 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_geom.c#20 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_mirror.c#9 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_queue.c#8 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zvol.c#16 integrate .. //depot/projects/smpng/sys/cddl/contrib/opensolaris/uts/intel/dtrace/fasttrap_isa.c#6 integrate .. //depot/projects/smpng/sys/cddl/dev/dtrace/amd64/dtrace_isa.c#6 integrate .. //depot/projects/smpng/sys/cddl/dev/dtrace/powerpc/dtrace_isa.c#5 integrate .. //depot/projects/smpng/sys/cddl/dev/sdt/sdt.c#7 integrate .. //depot/projects/smpng/sys/conf/NOTES#207 integrate .. //depot/projects/smpng/sys/conf/files#292 integrate .. //depot/projects/smpng/sys/conf/files.powerpc#82 integrate .. //depot/projects/smpng/sys/conf/options.mips#16 integrate .. //depot/projects/smpng/sys/dev/aha/aha.c#26 integrate .. //depot/projects/smpng/sys/dev/ahci/ahci.c#32 integrate .. //depot/projects/smpng/sys/dev/ahci/ahci.h#13 integrate .. //depot/projects/smpng/sys/dev/aic7xxx/aic79xx.c#32 integrate .. //depot/projects/smpng/sys/dev/aic7xxx/aic7xxx.c#31 integrate .. //depot/projects/smpng/sys/dev/ata/ata-all.c#120 integrate .. //depot/projects/smpng/sys/dev/buslogic/bt.c#24 integrate .. //depot/projects/smpng/sys/dev/cesa/cesa.c#6 integrate .. //depot/projects/smpng/sys/dev/cfi/cfi_bus_nexus.c#3 integrate .. //depot/projects/smpng/sys/dev/drm2/i915/i915_drv.c#4 integrate .. //depot/projects/smpng/sys/dev/fdt/fdt_common.c#9 integrate .. //depot/projects/smpng/sys/dev/fdt/fdt_common.h#9 integrate .. //depot/projects/smpng/sys/dev/fdt/fdt_ic_if.m#1 branch .. //depot/projects/smpng/sys/dev/fdt/fdt_mips.c#3 integrate .. //depot/projects/smpng/sys/dev/fdt/fdt_pci.c#8 integrate .. //depot/projects/smpng/sys/dev/fdt/fdt_powerpc.c#4 integrate .. //depot/projects/smpng/sys/dev/fdt/fdt_slicer.c#3 integrate .. //depot/projects/smpng/sys/dev/fdt/fdtbus.c#12 integrate .. //depot/projects/smpng/sys/dev/fdt/simplebus.c#8 integrate .. //depot/projects/smpng/sys/dev/ffec/if_ffec.c#1 branch .. //depot/projects/smpng/sys/dev/ffec/if_ffecreg.h#1 branch .. //depot/projects/smpng/sys/dev/firewire/sbp_targ.c#18 integrate .. //depot/projects/smpng/sys/dev/iscsi/icl.c#2 integrate .. //depot/projects/smpng/sys/dev/isf/isf.c#3 delete .. //depot/projects/smpng/sys/dev/isf/isf.h#3 delete .. //depot/projects/smpng/sys/dev/isf/isf_fdt.c#2 delete .. //depot/projects/smpng/sys/dev/isf/isf_nexus.c#3 delete .. //depot/projects/smpng/sys/dev/isp/isp_freebsd.c#82 integrate .. //depot/projects/smpng/sys/dev/iwn/if_iwn.c#32 integrate .. //depot/projects/smpng/sys/dev/iwn/if_iwn_debug.h#1 branch .. //depot/projects/smpng/sys/dev/iwn/if_iwnreg.h#10 integrate .. //depot/projects/smpng/sys/dev/iwn/if_iwnvar.h#13 integrate .. //depot/projects/smpng/sys/dev/md/md.c#109 integrate .. //depot/projects/smpng/sys/dev/mpt/mpt_cam.c#45 integrate .. //depot/projects/smpng/sys/dev/mvs/mvs.c#14 integrate .. //depot/projects/smpng/sys/dev/oce/oce_hw.c#5 integrate .. //depot/projects/smpng/sys/dev/oce/oce_hw.h#5 integrate .. //depot/projects/smpng/sys/dev/oce/oce_if.c#6 integrate .. //depot/projects/smpng/sys/dev/oce/oce_if.h#5 integrate .. //depot/projects/smpng/sys/dev/oce/oce_mbox.c#5 integrate .. //depot/projects/smpng/sys/dev/oce/oce_queue.c#5 integrate .. //depot/projects/smpng/sys/dev/oce/oce_sysctl.c#5 integrate .. //depot/projects/smpng/sys/dev/oce/oce_util.c#5 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_bus.h#3 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_bus_if.m#6 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_bus_subr.c#10 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_bus_subr.h#8 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_console.c#36 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_fdt.c#7 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_iicbus.c#10 integrate .. //depot/projects/smpng/sys/dev/ofw/ofw_nexus.c#1 branch .. //depot/projects/smpng/sys/dev/ofw/ofw_nexus.h#1 branch .. //depot/projects/smpng/sys/dev/ofw/openfirm.c#25 integrate .. //depot/projects/smpng/sys/dev/ofw/openfirm.h#17 integrate .. //depot/projects/smpng/sys/dev/pci/pci.c#136 integrate .. //depot/projects/smpng/sys/dev/pci/pci_private.h#32 integrate .. //depot/projects/smpng/sys/dev/pci/pcireg.h#43 integrate .. //depot/projects/smpng/sys/dev/pci/pcivar.h#41 integrate .. //depot/projects/smpng/sys/dev/re/if_re.c#101 integrate .. //depot/projects/smpng/sys/dev/siis/siis.c#22 integrate .. //depot/projects/smpng/sys/dev/spibus/ofw_spibus.c#1 branch .. //depot/projects/smpng/sys/dev/spibus/spibus.c#10 integrate .. //depot/projects/smpng/sys/dev/spibus/spibusvar.h#4 integrate .. //depot/projects/smpng/sys/dev/uart/uart_cpu_fdt.c#3 integrate .. //depot/projects/smpng/sys/dev/uart/uart_dev_imx.c#2 integrate .. //depot/projects/smpng/sys/dev/uart/uart_dev_pl011.c#4 integrate .. //depot/projects/smpng/sys/dev/usb/controller/dwc_otg_fdt.c#1 branch .. //depot/projects/smpng/sys/dev/usb/serial/u3g.c#36 integrate .. //depot/projects/smpng/sys/dev/usb/usbdevs#185 integrate .. //depot/projects/smpng/sys/dev/usb/wlan/if_run.c#22 integrate .. //depot/projects/smpng/sys/dev/xen/netback/netback.c#12 integrate .. //depot/projects/smpng/sys/fs/ext2fs/ext2_vnops.c#10 integrate .. //depot/projects/smpng/sys/geom/concat/g_concat.c#27 integrate .. //depot/projects/smpng/sys/geom/concat/g_concat.h#12 integrate .. //depot/projects/smpng/sys/geom/gate/g_gate.c#28 integrate .. //depot/projects/smpng/sys/geom/geom.h#66 integrate .. //depot/projects/smpng/sys/geom/geom_dev.c#66 integrate .. //depot/projects/smpng/sys/geom/geom_disk.c#74 integrate .. //depot/projects/smpng/sys/geom/geom_disk.h#18 integrate .. //depot/projects/smpng/sys/geom/geom_int.h#18 integrate .. //depot/projects/smpng/sys/geom/geom_io.c#63 integrate .. //depot/projects/smpng/sys/geom/geom_kern.c#29 integrate .. //depot/projects/smpng/sys/geom/geom_slice.c#44 integrate .. //depot/projects/smpng/sys/geom/geom_subr.c#72 integrate .. //depot/projects/smpng/sys/geom/geom_vfs.c#20 integrate .. //depot/projects/smpng/sys/geom/mirror/g_mirror.c#54 integrate .. //depot/projects/smpng/sys/geom/mirror/g_mirror.h#19 integrate .. //depot/projects/smpng/sys/geom/multipath/g_multipath.c#18 integrate .. //depot/projects/smpng/sys/geom/nop/g_nop.c#19 integrate .. //depot/projects/smpng/sys/geom/nop/g_nop.h#9 integrate .. //depot/projects/smpng/sys/geom/part/g_part.c#47 integrate .. //depot/projects/smpng/sys/geom/raid/g_raid.c#10 integrate .. //depot/projects/smpng/sys/geom/raid/md_ddf.c#6 integrate .. //depot/projects/smpng/sys/geom/raid/md_intel.c#7 integrate .. //depot/projects/smpng/sys/geom/raid/md_jmicron.c#5 integrate .. //depot/projects/smpng/sys/geom/raid/md_nvidia.c#6 integrate .. //depot/projects/smpng/sys/geom/raid/md_promise.c#6 integrate .. //depot/projects/smpng/sys/geom/raid/md_sii.c#5 integrate .. //depot/projects/smpng/sys/geom/stripe/g_stripe.c#28 integrate .. //depot/projects/smpng/sys/geom/stripe/g_stripe.h#9 integrate .. //depot/projects/smpng/sys/geom/zero/g_zero.c#9 integrate .. //depot/projects/smpng/sys/kern/dtio_kdtrace.c#4 delete .. //depot/projects/smpng/sys/kern/kern_conf.c#78 integrate .. //depot/projects/smpng/sys/kern/kern_event.c#75 integrate .. //depot/projects/smpng/sys/kern/kern_poll.c#43 integrate .. //depot/projects/smpng/sys/kern/kern_resource.c#95 integrate .. //depot/projects/smpng/sys/kern/subr_devstat.c#27 integrate .. //depot/projects/smpng/sys/kern/subr_taskqueue.c#58 integrate .. //depot/projects/smpng/sys/kern/uipc_syscalls.c#147 integrate .. //depot/projects/smpng/sys/kern/vfs_bio.c#152 integrate .. //depot/projects/smpng/sys/mips/beri/beri_pic.c#1 branch .. //depot/projects/smpng/sys/mips/beri/files.beri#4 integrate .. //depot/projects/smpng/sys/mips/beri/std.beri#3 integrate .. //depot/projects/smpng/sys/mips/conf/ALFA_HORNET_UB#1 branch .. //depot/projects/smpng/sys/mips/conf/ALFA_HORNET_UB.hints#1 branch .. //depot/projects/smpng/sys/mips/conf/BERI_DE4.hints#3 integrate .. //depot/projects/smpng/sys/mips/conf/BERI_DE4_BASE#1 branch .. //depot/projects/smpng/sys/mips/conf/BERI_DE4_MDROOT#3 integrate .. //depot/projects/smpng/sys/mips/conf/BERI_DE4_SDROOT#3 integrate .. //depot/projects/smpng/sys/mips/conf/BERI_SIM.hints#3 delete .. //depot/projects/smpng/sys/mips/conf/BERI_SIM_BASE#1 branch .. //depot/projects/smpng/sys/mips/conf/BERI_SIM_MDROOT#3 integrate .. //depot/projects/smpng/sys/mips/conf/BERI_SIM_SDROOT#1 branch .. //depot/projects/smpng/sys/mips/conf/BERI_TEMPLATE#4 integrate .. //depot/projects/smpng/sys/mips/include/cpufunc.h#16 integrate .. //depot/projects/smpng/sys/mips/include/pte.h#11 integrate .. //depot/projects/smpng/sys/mips/mips/cache.c#8 integrate .. //depot/projects/smpng/sys/mips/mips/cpu.c#11 integrate .. //depot/projects/smpng/sys/mips/mips/trap.c#21 integrate .. //depot/projects/smpng/sys/modules/dtrace/Makefile#13 integrate .. //depot/projects/smpng/sys/modules/dtrace/dtio/Makefile#4 delete .. //depot/projects/smpng/sys/modules/dtrace/dtraceall/dtraceall.c#11 integrate .. //depot/projects/smpng/sys/net/if_media.h#34 integrate .. //depot/projects/smpng/sys/net/if_tap.c#64 integrate .. //depot/projects/smpng/sys/net/raw_cb.c#27 integrate .. //depot/projects/smpng/sys/net/raw_usrreq.c#35 integrate .. //depot/projects/smpng/sys/net80211/ieee80211_output.c#61 integrate .. //depot/projects/smpng/sys/net80211/ieee80211_proto.c#46 integrate .. //depot/projects/smpng/sys/net80211/ieee80211_proto.h#38 integrate .. //depot/projects/smpng/sys/netinet/in.h#62 integrate .. //depot/projects/smpng/sys/netinet/tcp_input.c#156 integrate .. //depot/projects/smpng/sys/netinet6/icmp6.c#77 integrate .. //depot/projects/smpng/sys/pci/if_rlreg.h#64 integrate .. //depot/projects/smpng/sys/powerpc/booke/machdep.c#30 integrate .. //depot/projects/smpng/sys/powerpc/booke/platform_bare.c#14 integrate .. //depot/projects/smpng/sys/powerpc/booke/pmap.c#36 integrate .. //depot/projects/smpng/sys/powerpc/include/intr_machdep.h#16 integrate .. //depot/projects/smpng/sys/powerpc/include/psl.h#9 integrate .. //depot/projects/smpng/sys/powerpc/mambo/mambo_openpic.c#4 delete .. //depot/projects/smpng/sys/powerpc/mpc85xx/atpic.c#8 integrate .. //depot/projects/smpng/sys/powerpc/mpc85xx/fsl_sdhc.c#3 integrate .. //depot/projects/smpng/sys/powerpc/mpc85xx/pci_fdt.c#7 delete .. //depot/projects/smpng/sys/powerpc/mpc85xx/pci_mpc85xx.c#1 branch .. //depot/projects/smpng/sys/powerpc/ofw/ofw_pci.c#9 integrate .. //depot/projects/smpng/sys/powerpc/ofw/ofw_pci.h#6 integrate .. //depot/projects/smpng/sys/powerpc/ofw/ofw_pcib_pci.c#13 integrate .. //depot/projects/smpng/sys/powerpc/ofw/ofw_pcibus.c#9 integrate .. //depot/projects/smpng/sys/powerpc/ofw/openpic_ofw.c#1 branch .. //depot/projects/smpng/sys/powerpc/powermac/openpic_macio.c#16 delete .. //depot/projects/smpng/sys/powerpc/powermac/powermac_thermal.c#4 integrate .. //depot/projects/smpng/sys/powerpc/powerpc/clock.c#22 integrate .. //depot/projects/smpng/sys/powerpc/powerpc/exec_machdep.c#10 integrate .. //depot/projects/smpng/sys/powerpc/powerpc/genassym.c#40 integrate .. //depot/projects/smpng/sys/powerpc/powerpc/intr_machdep.c#31 integrate .. //depot/projects/smpng/sys/powerpc/powerpc/nexus.c#15 integrate .. //depot/projects/smpng/sys/powerpc/powerpc/openpic_fdt.c#3 delete .. //depot/projects/smpng/sys/powerpc/powerpc/pic_if.m#10 integrate .. //depot/projects/smpng/sys/powerpc/pseries/vdevice.c#2 integrate .. //depot/projects/smpng/sys/sparc64/include/bus.h#37 integrate .. //depot/projects/smpng/sys/sparc64/include/bus_private.h#11 integrate .. //depot/projects/smpng/sys/sparc64/pci/fire.c#12 integrate .. //depot/projects/smpng/sys/sparc64/pci/psycho.c#60 integrate .. //depot/projects/smpng/sys/sparc64/pci/schizo.c#21 integrate .. //depot/projects/smpng/sys/sparc64/sbus/sbus.c#42 integrate .. //depot/projects/smpng/sys/sparc64/sparc64/bus_machdep.c#42 integrate .. //depot/projects/smpng/sys/sys/ata.h#41 integrate .. //depot/projects/smpng/sys/sys/eventvar.h#6 integrate .. //depot/projects/smpng/sys/sys/proc.h#230 integrate .. //depot/projects/smpng/sys/sys/resource.h#25 integrate .. //depot/projects/smpng/sys/sys/resourcevar.h#37 integrate .. //depot/projects/smpng/sys/sys/sdt.h#11 integrate .. //depot/projects/smpng/sys/sys/time.h#39 integrate .. //depot/projects/smpng/sys/ufs/ffs/ffs_softdep.c#101 integrate .. //depot/projects/smpng/sys/ufs/ffs/ffs_vfsops.c#135 integrate .. //depot/projects/smpng/sys/ufs/ffs/ffs_vnops.c#78 integrate .. //depot/projects/smpng/sys/ufs/ffs/softdep.h#16 integrate .. //depot/projects/smpng/sys/ufs/ufs/dinode.h#16 integrate .. //depot/projects/smpng/sys/ufs/ufs/ufs_extern.h#25 integrate .. //depot/projects/smpng/sys/ufs/ufs/ufsmount.h#27 integrate .. //depot/projects/smpng/sys/x86/x86/io_apic.c#7 integrate Differences ... ==== //depot/projects/smpng/share/man/man9/Makefile#40 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/share/man/man9/Makefile 256124 2013-10-07 20:30:05Z jhb $ +# $FreeBSD: head/share/man/man9/Makefile 256952 2013-10-23 03:27:42Z eadler $ MAN= accept_filter.9 \ accf_data.9 \ @@ -118,6 +118,7 @@ g_consumer.9 \ g_data.9 \ get_cyclecount.9 \ + getenv.9 \ getnewvnode.9 \ g_event.9 \ g_geom.9 \ @@ -681,6 +682,14 @@ g_consumer.9 g_new_consumer.9 MLINKS+=g_data.9 g_read_data.9 \ g_data.9 g_write_data.9 +MLINKS+=getenv.9 freeenv.9 \ + getenv.9 getenv_int.9 \ + getenv.9 getenv_long.9 \ + getenv.9 getenv_string.9 \ + getenv.9 getenv_quad.9 \ + getenv.9 getenv_uint.9 \ + getenv.9 getenv_ulong.9 \ + getenv.9 testenv.9 MLINKS+=g_event.9 g_cancel_event.9 \ g_event.9 g_post_event.9 \ g_event.9 g_waitfor_event.9 @@ -1138,11 +1147,14 @@ sbuf.9 sbuf_finish.9 \ sbuf.9 sbuf_len.9 \ sbuf.9 sbuf_new.9 \ + sbuf.9 sbuf_new_auto.9 \ sbuf.9 sbuf_new_for_sysctl.9 \ sbuf.9 sbuf_printf.9 \ sbuf.9 sbuf_putc.9 \ sbuf.9 sbuf_set_drain.9 \ sbuf.9 sbuf_setpos.9 \ + sbuf.9 sbuf_start_section.9 \ + sbuf.9 sbuf_end_section.9 \ sbuf.9 sbuf_trim.9 \ sbuf.9 sbuf_vprintf.9 MLINKS+=scheduler.9 curpriority_cmp.9 \ ==== //depot/projects/smpng/share/man/man9/disk.9#4 (text+ko) ==== @@ -25,7 +25,7 @@ .\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH .\" DAMAGE. .\" -.\" $FreeBSD: head/share/man/man9/disk.9 242359 2012-10-30 13:05:50Z trasz $ +.\" $FreeBSD: head/share/man/man9/disk.9 256884 2013-10-22 10:21:20Z mav $ .\" .Dd October 30, 2012 .Dt DISK 9 @@ -106,8 +106,6 @@ Optional flags indicating to the storage framework what optional features or descriptions the storage device driver supports. Currently supported flags are -.Dv DISKFLAG_NEEDSGIANT -(maintained by device driver), .Dv DISKFLAG_OPEN (maintained by storage framework), .Dv DISKFLAG_CANDELETE ==== //depot/projects/smpng/sys/arm/allwinner/a10_wdog.c#2 (text+ko) ==== @@ -24,7 +24,7 @@ * SUCH DAMAGE. */ #include -__FBSDID("$FreeBSD: head/sys/arm/allwinner/a10_wdog.c 246707 2013-02-12 07:27:40Z gonzo $"); +__FBSDID("$FreeBSD: head/sys/arm/allwinner/a10_wdog.c 256873 2013-10-22 05:22:46Z gonzo $"); #include #include @@ -150,6 +150,18 @@ (wd_intervals[i].value << WDOG_MODE_INTVL_SHIFT) | WDOG_MODE_EN | WDOG_MODE_RST_EN); WRITE(sc, WDOG_CTRL, WDOG_CTRL_RESTART); + *error = 0; + } + else { + /* + * Can't arm + * disable watchdog as watchdog(9) requires + */ + device_printf(sc->dev, + "Can't arm, timeout is more than 16 sec\n"); + mtx_unlock(&sc->mtx); + WRITE(sc, WDOG_MODE, 0); + return; } } else ==== //depot/projects/smpng/sys/arm/arm/stack_machdep.c#4 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/arm/stack_machdep.c 250810 2013-05-19 16:25:09Z andrew $"); +__FBSDID("$FreeBSD: head/sys/arm/arm/stack_machdep.c 256941 2013-10-22 21:47:34Z cognet $"); #include #include @@ -43,13 +43,16 @@ * APCS where it lays out the stack incorrectly. Because of this we disable * this when building for ARM EABI or when building with clang. */ + +extern vm_offset_t kernel_vm_end; + static void stack_capture(struct stack *st, u_int32_t *frame) { #if !defined(__ARM_EABI__) && !defined(__clang__) vm_offset_t callpc; - while (INKERNEL(frame)) { + while (INKERNEL(frame) && (vm_offset_t)frame < kernel_vm_end) { callpc = frame[FR_SCP]; if (stack_put(st, callpc) == -1) break; ==== //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_gpio.c#4 (text+ko) ==== @@ -26,7 +26,7 @@ * */ #include -__FBSDID("$FreeBSD: head/sys/arm/broadcom/bcm2835/bcm2835_gpio.c 255370 2013-09-07 18:48:15Z loos $"); +__FBSDID("$FreeBSD: head/sys/arm/broadcom/bcm2835/bcm2835_gpio.c 257127 2013-10-25 18:38:44Z loos $"); #include #include @@ -56,8 +56,6 @@ #include "gpio_if.h" -#undef DEBUG - #ifdef DEBUG #define dprintf(fmt, args...) do { printf("%s(): ", __func__); \ printf(fmt,##args); } while (0) ==== //depot/projects/smpng/sys/arm/broadcom/bcm2835/bcm2835_wdog.c#3 (text+ko) ==== @@ -24,7 +24,7 @@ * SUCH DAMAGE. */ #include -__FBSDID("$FreeBSD: head/sys/arm/broadcom/bcm2835/bcm2835_wdog.c 239922 2012-08-30 20:59:37Z gonzo $"); +__FBSDID("$FreeBSD: head/sys/arm/broadcom/bcm2835/bcm2835_wdog.c 256871 2013-10-22 05:19:42Z gonzo $"); #include #include @@ -76,11 +76,10 @@ int wdog_armed; int wdog_period; char wdog_passwd; + struct mtx mtx; }; -#ifdef notyet static void bcmwd_watchdog_fn(void *private, u_int cmd, int *error); -#endif static int bcmwd_probe(device_t dev) @@ -120,19 +119,59 @@ sc->bsh = rman_get_bushandle(sc->res); bcmwd_lsc = sc; -#ifdef notyet + mtx_init(&sc->mtx, "BCM2835 Watchdog", "bcmwd", MTX_DEF); EVENTHANDLER_REGISTER(watchdog_list, bcmwd_watchdog_fn, sc, 0); -#endif + return (0); } -#ifdef notyet static void bcmwd_watchdog_fn(void *private, u_int cmd, int *error) { - /* XXX: not yet */ + struct bcmwd_softc *sc; + uint64_t sec; + uint32_t ticks, reg; + + sc = private; + mtx_lock(&sc->mtx); + + cmd &= WD_INTERVAL; + + if (cmd > 0) { + sec = ((uint64_t)1 << (cmd & WD_INTERVAL)) / 1000000000; + ticks = (sec << 16) & BCM2835_WDOG_TIME_MASK; + if (ticks == 0) { + /* + * Can't arm + * disable watchdog as watchdog(9) requires + */ + device_printf(sc->dev, + "Can't arm, timeout is less than 1 second\n"); + WRITE(sc, BCM2835_RSTC_REG, + (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT) | + BCM2835_RSTC_RESET); + mtx_unlock(&sc->mtx); + return; + } + + reg = (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT) | ticks; + WRITE(sc, BCM2835_WDOG_REG, reg); + + reg = READ(sc, BCM2835_RSTC_REG); + reg &= BCM2835_RSTC_WRCFG_CLR; + reg |= BCM2835_RSTC_WRCFG_FULL_RESET; + reg |= (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT); + WRITE(sc, BCM2835_RSTC_REG, reg); + + *error = 0; + } + else + WRITE(sc, BCM2835_RSTC_REG, + (BCM2835_PASWORD << BCM2835_PASSWORD_SHIFT) | + BCM2835_RSTC_RESET); + + mtx_unlock(&sc->mtx); } -#endif void bcmwd_watchdog_reset() ==== //depot/projects/smpng/sys/arm/broadcom/bcm2835/files.bcm2835#5 (text+ko) ==== @@ -1,5 +1,6 @@ -# $FreeBSD: head/sys/arm/broadcom/bcm2835/files.bcm2835 253006 2013-07-07 21:23:58Z rpaulo $ +# $FreeBSD: head/sys/arm/broadcom/bcm2835/files.bcm2835 257062 2013-10-24 16:27:33Z loos $ +arm/broadcom/bcm2835/bcm2835_bsc.c optional bcm2835_bsc arm/broadcom/bcm2835/bcm2835_dma.c standard arm/broadcom/bcm2835/bcm2835_fb.c optional sc arm/broadcom/bcm2835/bcm2835_gpio.c optional gpio @@ -7,11 +8,12 @@ arm/broadcom/bcm2835/bcm2835_machdep.c standard arm/broadcom/bcm2835/bcm2835_mbox.c standard arm/broadcom/bcm2835/bcm2835_sdhci.c optional sdhci +arm/broadcom/bcm2835/bcm2835_spi.c optional bcm2835_spi arm/broadcom/bcm2835/bcm2835_systimer.c standard arm/broadcom/bcm2835/bcm2835_wdog.c standard arm/broadcom/bcm2835/bus_space.c optional fdt arm/broadcom/bcm2835/common.c optional fdt -arm/broadcom/bcm2835/dwc_otg_brcm.c optional dwcotg +dev/usb/controller/dwc_otg_fdt.c optional dwcotg arm/arm/bus_space_generic.c standard arm/arm/bus_space_asm_generic.S standard ==== //depot/projects/smpng/sys/arm/conf/RPI-B#5 (text+ko) ==== @@ -15,7 +15,7 @@ # If you are in doubt as to the purpose or necessity of a line, check first # in NOTES. # -# $FreeBSD: head/sys/arm/conf/RPI-B 254461 2013-08-17 18:51:38Z andrew $ +# $FreeBSD: head/sys/arm/conf/RPI-B 257062 2013-10-24 16:27:33Z loos $ ident RPI-B @@ -79,6 +79,11 @@ device gpio device gpioled +# I2C +device iic +device iicbus +device bcm2835_bsc + options KDB options DDB #Enable the kernel debugger options INVARIANTS #Enable calls of extra sanity checking @@ -102,6 +107,10 @@ device mii device smsc +# SPI +device spibus +device bcm2835_spi + # Flattened Device Tree options FDT # Note: DTB is normally loaded and modified by RPi boot loader, then ==== //depot/projects/smpng/sys/arm/freescale/imx/files.imx53#2 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/arm/freescale/imx/files.imx53 255130 2013-09-01 20:15:35Z rpaulo $ +# $FreeBSD: head/sys/arm/freescale/imx/files.imx53 256806 2013-10-20 21:07:38Z ian $ arm/arm/bus_space_asm_generic.S standard arm/arm/bus_space_generic.c standard arm/arm/cpufunc_asm_armv5.S standard @@ -12,8 +12,11 @@ arm/freescale/imx/common.c standard arm/freescale/imx/bus_space.c standard -# Dummy serial console -arm/freescale/imx/console.c standard +# Special serial console for debuging early boot code +#arm/freescale/imx/console.c standard + +# UART driver (includes serial console support) +dev/uart/uart_dev_imx.c optional uart # TrustZone Interrupt Controller arm/freescale/imx/tzic.c standard @@ -33,9 +36,6 @@ # i.MX5xx PATA controller dev/ata/chipsets/ata-fsl.c optional imxata -# UART driver -#dev/uart/uart_dev_imx.c optional uart - # USB join controller (1 OTG, 3 EHCI) dev/usb/controller/ehci_imx.c optional ehci @@ -49,3 +49,6 @@ # IPU - Image Processing Unit (frame buffer also) arm/freescale/imx/imx51_ipuv3.c optional sc +# Fast Ethernet Controller +dev/ffec/if_ffec.c optional ffec + ==== //depot/projects/smpng/sys/arm/lpc/if_lpe.c#3 (text+ko) ==== @@ -25,7 +25,7 @@ * */ #include -__FBSDID("$FreeBSD: head/sys/arm/lpc/if_lpe.c 243882 2012-12-05 08:04:20Z glebius $"); +__FBSDID("$FreeBSD: head/sys/arm/lpc/if_lpe.c 257127 2013-10-25 18:38:44Z loos $"); #include #include @@ -64,9 +64,6 @@ #include "miibus_if.h" -#define DEBUG -#undef DEBUG - #ifdef DEBUG #define debugf(fmt, args...) do { printf("%s(): ", __func__); \ printf(fmt,##args); } while (0) ==== //depot/projects/smpng/sys/arm/lpc/lpc_mmc.c#3 (text+ko) ==== @@ -25,7 +25,7 @@ * */ #include -__FBSDID("$FreeBSD: head/sys/arm/lpc/lpc_mmc.c 239278 2012-08-15 05:37:10Z gonzo $"); +__FBSDID("$FreeBSD: head/sys/arm/lpc/lpc_mmc.c 257127 2013-10-25 18:38:44Z loos $"); #include #include @@ -65,9 +65,6 @@ #include #include -#define DEBUG -#undef DEBUG - #ifdef DEBUG #define debugf(fmt, args...) do { printf("%s(): ", __func__); \ printf(fmt,##args); } while (0) ==== //depot/projects/smpng/sys/arm/mv/gpio.c#12 (text+ko) ==== @@ -29,7 +29,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/mv/gpio.c 239367 2012-08-18 11:33:21Z hrs $"); +__FBSDID("$FreeBSD: head/sys/arm/mv/gpio.c 257015 2013-10-23 19:56:13Z nwhitehorn $"); #include #include @@ -605,7 +605,6 @@ platform_gpio_init(void) { phandle_t child, parent, root, ctrl; - ihandle_t ctrl_ihandle; pcell_t gpios[MAX_PINS_PER_NODE * GPIOS_PROP_CELLS]; struct gpio_ctrl_entry *e; int len, rv; @@ -639,9 +638,7 @@ * contain a ref. to a node defining GPIO * controller. */ - ctrl_ihandle = (ihandle_t)gpios[0]; - ctrl_ihandle = fdt32_to_cpu(ctrl_ihandle); - ctrl = OF_instance_to_package(ctrl_ihandle); + ctrl = OF_xref_phandle(fdt32_to_cpu(gpios[0])); if (fdt_is_compatible(ctrl, e->compat)) /* Call a handler. */ ==== //depot/projects/smpng/sys/arm/xscale/ixp425/if_npe.c#21 (text+ko) ==== @@ -23,7 +23,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/if_npe.c 243882 2012-12-05 08:04:20Z glebius $"); +__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/if_npe.c 256943 2013-10-22 21:51:07Z cognet $"); /* * Intel XScale NPE Ethernet driver. @@ -507,7 +507,6 @@ dma->name, error); return error; } - /* XXX COHERENT for now */ if (bus_dmamem_alloc(dma->buf_tag, (void **)&dma->hwbuf, BUS_DMA_NOWAIT | BUS_DMA_ZERO | BUS_DMA_COHERENT, &dma->buf_map) != 0) { @@ -1073,6 +1072,7 @@ m->m_pkthdr.len = m->m_len = 1536; /* backload payload and align ip hdr */ m->m_data = m->m_ext.ext_buf + (m->m_ext.ext_size - (1536+ETHER_ALIGN)); + bus_dmamap_unload(dma->mtag, npe->ix_map); error = bus_dmamap_load_mbuf_sg(dma->mtag, npe->ix_map, m, segs, &nseg, 0); if (error != 0) { @@ -1085,6 +1085,8 @@ /* NB: buffer length is shifted in word */ hw->ix_ne[0].len = htobe32(segs[0].ds_len << 16); hw->ix_ne[0].next = 0; + bus_dmamap_sync(dma->buf_tag, dma->buf_map, + BUS_DMASYNC_PREREAD|BUS_DMASYNC_PREWRITE); npe->ix_m = m; /* Flush the memory in the mbuf */ bus_dmamap_sync(dma->mtag, npe->ix_map, BUS_DMASYNC_PREREAD); @@ -1110,6 +1112,8 @@ struct npebuf *npe = P2V(NPE_QM_Q_ADDR(entry), dma); struct mbuf *m; + bus_dmamap_sync(dma->buf_tag, dma->buf_map, + BUS_DMASYNC_POSTREAD); DPRINTF(sc, "%s: entry 0x%x neaddr 0x%x ne_len 0x%x\n", __func__, entry, npe->ix_neaddr, npe->ix_hw->ix_ne[0].len); /* @@ -1130,7 +1134,6 @@ bus_dmamap_sync(dma->mtag, npe->ix_map, BUS_DMASYNC_POSTREAD); - /* XXX flush hw buffer; works now 'cuz coherent */ /* set m_len etc. per rx frame size */ mrx->m_len = be32toh(hw->ix_ne[0].len) & 0xffff; mrx->m_pkthdr.len = mrx->m_len; @@ -1313,6 +1316,7 @@ return; } npe = sc->tx_free; + bus_dmamap_unload(dma->mtag, npe->ix_map); error = bus_dmamap_load_mbuf_sg(dma->mtag, npe->ix_map, m, segs, &nseg, 0); if (error == EFBIG) { @@ -1355,7 +1359,8 @@ next += sizeof(hw->ix_ne[0]); } hw->ix_ne[i-1].next = 0; /* zero last in chain */ - /* XXX flush descriptor instead of using uncached memory */ + bus_dmamap_sync(dma->buf_tag, dma->buf_map, + BUS_DMASYNC_PREREAD|BUS_DMASYNC_PREWRITE); DPRINTF(sc, "%s: qwrite(%u, 0x%x) ne_data %x ne_len 0x%x\n", __func__, sc->tx_qid, npe->ix_neaddr, ==== //depot/projects/smpng/sys/arm/xscale/ixp425/ixp425_mem.c#5 (text+ko) ==== @@ -36,7 +36,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/ixp425_mem.c 186352 2008-12-20 03:26:09Z sam $"); +__FBSDID("$FreeBSD: head/sys/arm/xscale/ixp425/ixp425_mem.c 256942 2013-10-22 21:49:58Z cognet $"); #include #include @@ -76,7 +76,7 @@ size = sdram_other[MCU_SDR_CONFIG_MCONF(sdr_config)]; if (size == 0) { - printf("** SDR_CONFIG retuns unknown value, using 32M\n"); + printf("** SDR_CONFIG returns unknown value, using 32M\n"); size = 32 * 1024 * 1024; } ==== //depot/projects/smpng/sys/boot/fdt/dts/bcm2835.dtsi#2 (text+ko) ==== @@ -22,7 +22,7 @@ * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF * SUCH DAMAGE. * - * $FreeBSD: head/sys/boot/fdt/dts/bcm2835.dtsi 252439 2013-07-01 05:01:01Z rpaulo $ + * $FreeBSD: head/sys/boot/fdt/dts/bcm2835.dtsi 257062 2013-10-24 16:27:33Z loos $ */ / { @@ -396,6 +396,30 @@ }; }; + bsc0 { + compatible = "broadcom,bcm2835-bsc", + "broadcom,bcm2708-bsc"; + reg = <0x205000 0x20>; + interrupts = <61>; + interrupt-parent = <&intc>; + }; + + bsc1 { + compatible = "broadcom,bcm2835-bsc", + "broadcom,bcm2708-bsc"; + reg = <0x804000 0x20>; + interrupts = <61>; + interrupt-parent = <&intc>; + }; + + spi0 { + compatible = "broadcom,bcm2835-spi", + "broadcom,bcm2708-spi"; + reg = <0x204000 0x20>; + interrupts = <62>; + interrupt-parent = <&intc>; + }; + dma: dma { compatible = "broadcom,bcm2835-dma", "broadcom,bcm2708-dma"; ==== //depot/projects/smpng/sys/boot/fdt/dts/digi-ccwmx53.dts#2 (text+ko) ==== @@ -29,7 +29,7 @@ * * Digi ConnectCore Wi-i.MX53 * - * $FreeBSD: head/sys/boot/fdt/dts/digi-ccwmx53.dts 255130 2013-09-01 20:15:35Z rpaulo $ + * $FreeBSD: head/sys/boot/fdt/dts/digi-ccwmx53.dts 256804 2013-10-20 21:03:15Z ian $ */ /dts-v1/; @@ -70,9 +70,9 @@ }; /* UART1, console */ - UART1: serial@53fbc000 { + console: serial@53fbc000 { status = "okay"; - clock-frequency = <3000000>; /* XXX */ + clock-frequency = <0>; /* won't load w/o this */ }; clock@53fd4000 { @@ -111,13 +111,12 @@ }; aliases { - UART1 = &UART1; SSI2 = &SSI2; }; chosen { bootargs = "-v"; - stdin = "UART1"; - stdout = "UART1"; + stdin = &console; + stdout = &console; }; }; ==== //depot/projects/smpng/sys/boot/i386/libi386/Makefile#29 (text+ko) ==== @@ -1,4 +1,4 @@ -# $FreeBSD: head/sys/boot/i386/libi386/Makefile 239066 2012-08-05 14:37:48Z ae $ +# $FreeBSD: head/sys/boot/i386/libi386/Makefile 256940 2013-10-22 21:32:28Z jkim $ # LIB= i386 INTERNALLIB= @@ -36,6 +36,9 @@ .if defined(BOOT_LITTLE_ENDIAN_UUID) # Use little-endian UUID format as defined in SMBIOS 2.6. CFLAGS+= -DSMBIOS_LITTLE_ENDIAN_UUID +.elif defined(BOOT_NETWORK_ENDIAN_UUID) +# Use network-endian UUID format for backward compatibility. +CFLAGS+= -DSMBIOS_NETWORK_ENDIAN_UUID .endif .endif ==== //depot/projects/smpng/sys/boot/i386/libi386/smbios.c#10 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/boot/i386/libi386/smbios.c 190814 2009-04-07 17:58:15Z jkim $"); +__FBSDID("$FreeBSD: head/sys/boot/i386/libi386/smbios.c 256940 2013-10-22 21:32:28Z jkim $"); #include #include @@ -122,7 +122,7 @@ smbios_setuuid(const char *name, const caddr_t addr, const int ver) { char uuid[37]; - int i, ones, zeros; + int byteorder, i, ones, zeros; UUID_TYPE n; uint32_t f1; uint16_t f2, f3; @@ -152,14 +152,18 @@ * Note: We use network byte order for backward compatibility * unless SMBIOS version is 2.6+ or little-endian is forced. */ -#ifndef SMBIOS_LITTLE_ENDIAN_UUID - if (ver < 0x0206) { +#if defined(SMBIOS_LITTLE_ENDIAN_UUID) + byteorder = LITTLE_ENDIAN; +#elif defined(SMBIOS_NETWORK_ENDIAN_UUID) + byteorder = BIG_ENDIAN; +#else + byteorder = ver < 0x0206 ? BIG_ENDIAN : LITTLE_ENDIAN; +#endif + if (byteorder != LITTLE_ENDIAN) { f1 = ntohl(SMBIOS_GET32(addr, 0)); f2 = ntohs(SMBIOS_GET16(addr, 4)); f3 = ntohs(SMBIOS_GET16(addr, 6)); - } else -#endif - { + } else { f1 = le32toh(SMBIOS_GET32(addr, 0)); f2 = le16toh(SMBIOS_GET16(addr, 4)); f3 = le16toh(SMBIOS_GET16(addr, 6)); ==== //depot/projects/smpng/sys/boot/uboot/lib/disk.c#10 (text+ko) ==== @@ -32,7 +32,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/boot/uboot/lib/disk.c 241809 2012-10-21 12:14:58Z ae $"); +__FBSDID("$FreeBSD: head/sys/boot/uboot/lib/disk.c 257127 2013-10-25 18:38:44Z loos $"); #include #include @@ -45,9 +45,6 @@ #include "glue.h" #include "libuboot.h" -#define DEBUG -#undef DEBUG - #define stor_printf(fmt, args...) do { \ printf("%s%d: ", dev->d_dev->dv_name, dev->d_unit); \ printf(fmt, ##args); \ ==== //depot/projects/smpng/sys/boot/uboot/lib/glue.c#11 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/boot/uboot/lib/glue.c 235609 2012-05-18 14:41:14Z gber $"); +__FBSDID("$FreeBSD: head/sys/boot/uboot/lib/glue.c 257127 2013-10-25 18:38:44Z loos $"); #include @@ -34,9 +34,6 @@ #include "api_public.h" #include "glue.h" -#define DEBUG -#undef DEBUG - #ifdef DEBUG #define debugf(fmt, args...) do { printf("%s(): ", __func__); printf(fmt,##args); } while (0) #else ==== //depot/projects/smpng/sys/cam/ata/ata_da.c#26 (text+ko) ==== @@ -25,7 +25,7 @@ */ #include -__FBSDID("$FreeBSD: head/sys/cam/ata/ata_da.c 256552 2013-10-15 17:59:41Z mav $"); +__FBSDID("$FreeBSD: head/sys/cam/ata/ata_da.c 257054 2013-10-24 14:05:44Z mav $"); #include "opt_ada.h" >>> TRUNCATED FOR MAIL (1000 lines) <<<