From owner-svn-src-projects@FreeBSD.ORG Sun Aug 4 22:01:07 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 4AB04777; Sun, 4 Aug 2013 22:01:07 +0000 (UTC) (envelope-from bryanv@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 372FA2B5C; Sun, 4 Aug 2013 22:01:07 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r74M17uv013422; Sun, 4 Aug 2013 22:01:07 GMT (envelope-from bryanv@svn.freebsd.org) Received: (from bryanv@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r74M17jv013420; Sun, 4 Aug 2013 22:01:07 GMT (envelope-from bryanv@svn.freebsd.org) Message-Id: <201308042201.r74M17jv013420@svn.freebsd.org> From: Bryan Venteicher Date: Sun, 4 Aug 2013 22:01:07 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253944 - in projects/vmxnet/sys: dev/vmware/vmxnet3 modules/vmware/vmxnet3 X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 04 Aug 2013 22:01:07 -0000 Author: bryanv Date: Sun Aug 4 22:01:06 2013 New Revision: 253944 URL: http://svnweb.freebsd.org/changeset/base/253944 Log: More minor improvements of the vmxnet3 driver - Move the dma map and mbuf pointer into a common structure, rather than having an array of each - Add ifdefs and fix gcc warnings to make compiling on 9.1/STABLE - Add sysctl nodes for statistics queried from the hypervisor - Adjust several structures/function to more multiqueue friendly (for whenever support is added) - Adjust Makefile to more likely do the right thing when built standalone Modified: projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmxreg.h projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmxvar.h projects/vmxnet/sys/modules/vmware/vmxnet3/Makefile Modified: projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c ============================================================================== --- projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c Sun Aug 4 21:27:31 2013 (r253943) +++ projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c Sun Aug 4 22:01:06 2013 (r253944) @@ -67,6 +67,16 @@ __FBSDID("$FreeBSD$"); #include "opt_inet.h" #include "opt_inet6.h" +/* Always enable for now - useful for queue hangs. */ +#define VMXNET3_DEBUG_SYSCTL + +#ifdef VMXNET3_FAILPOINTS +#include +static SYSCTL_NODE(DEBUG_FP, OID_AUTO, vmxnet3, CTLFLAG_RW, 0, + "vmxnet3 fail points"); +#define VMXNET3_FP _debug_fail_point_vmxnet3 +#endif + static int vmxnet3_probe(device_t); static int vmxnet3_attach(device_t); static int vmxnet3_detach(device_t); @@ -141,9 +151,9 @@ static void vmxnet3_init_locked(struct v static void vmxnet3_init(void *); static int vmxnet3_txq_offload_ctx(struct mbuf *, int *, int *, int *); -static int vmxnet3_txq_load_mbuf(struct vmxnet3_txring *, struct mbuf **, +static int vmxnet3_txq_load_mbuf(struct vmxnet3_txqueue *, struct mbuf **, bus_dmamap_t, bus_dma_segment_t [], int *); -static void vmxnet3_txq_unload_mbuf(struct vmxnet3_txring *, bus_dmamap_t); +static void vmxnet3_txq_unload_mbuf(struct vmxnet3_txqueue *, bus_dmamap_t); static int vmxnet3_txq_encap(struct vmxnet3_txqueue *, struct mbuf **); static void vmxnet3_start_locked(struct ifnet *); static void vmxnet3_start(struct ifnet *); @@ -156,7 +166,7 @@ static void vmxnet3_set_rxfilter(struct static int vmxnet3_change_mtu(struct vmxnet3_softc *, int); static int vmxnet3_ioctl(struct ifnet *, u_long, caddr_t); -static void vmxnet3_watchdog(struct vmxnet3_softc *); +static int vmxnet3_watchdog(struct vmxnet3_txqueue *); static void vmxnet3_tick(void *); static void vmxnet3_link_status(struct vmxnet3_softc *); static void vmxnet3_media_status(struct ifnet *, struct ifmediareq *); @@ -164,7 +174,14 @@ static int vmxnet3_media_change(struct i static void vmxnet3_set_lladdr(struct vmxnet3_softc *); static void vmxnet3_get_lladdr(struct vmxnet3_softc *); -static uint32_t vmxnet3_read_bar0(struct vmxnet3_softc *, bus_size_t); +static void vmxnet3_setup_txq_sysctl(struct vmxnet3_txqueue *, + struct sysctl_ctx_list *, struct sysctl_oid_list *); +static void vmxnet3_setup_rxq_sysctl(struct vmxnet3_rxqueue *, + struct sysctl_ctx_list *, struct sysctl_oid_list *); +static void vmxnet3_setup_queue_sysctl(struct vmxnet3_softc *, + struct sysctl_ctx_list *, struct sysctl_oid_list *); +static void vmxnet3_setup_sysctl(struct vmxnet3_softc *); + static void vmxnet3_write_bar0(struct vmxnet3_softc *, bus_size_t, uint32_t); static uint32_t vmxnet3_read_bar1(struct vmxnet3_softc *, bus_size_t); @@ -183,6 +200,14 @@ static int vmxnet3_dma_malloc(struct vmx static void vmxnet3_dma_free(struct vmxnet3_softc *, struct vmxnet3_dma_alloc *); +typedef enum { + VMXNET3_BARRIER_RD, + VMXNET3_BARRIER_WR, + VMXNET3_BARRIER_RDWR, +} vmxnet3_barrier_t; + +static void vmxnet3_barrier(struct vmxnet3_softc *, vmxnet3_barrier_t); + static device_method_t vmxnet3_methods[] = { /* Device interface. */ DEVMETHOD(device_probe, vmxnet3_probe), @@ -266,6 +291,7 @@ vmxnet3_attach(device_t dev) goto fail; } + vmxnet3_setup_sysctl(sc); vmxnet3_link_status(sc); fail: @@ -457,9 +483,9 @@ vmxnet3_alloc_msix_interrupts(struct vmx if (pci_alloc_msix(dev, &cnt) == 0 && cnt >= required) { sc->vmx_nintrs = required; return (0); - } + } else + pci_release_msi(dev); - pci_release_msi(dev); return (1); } @@ -480,9 +506,9 @@ vmxnet3_alloc_msi_interrupts(struct vmxn if (pci_alloc_msi(dev, &cnt) == 0 && cnt >= required) { sc->vmx_nintrs = 1; return (0); - } + } else + pci_release_msi(dev); - pci_release_msi(dev); return (1); } @@ -751,6 +777,10 @@ vmxnet3_init_rxq(struct vmxnet3_softc *s rxr = &rxq->vxrxq_cmd_ring[i]; rxr->vxrxr_rid = i; rxr->vxrxr_ndesc = sc->vmx_nrxdescs; + rxr->vxrxr_rxbuf = malloc(rxr->vxrxr_ndesc * + sizeof(struct vmxnet3_rxbuf), M_DEVBUF, M_NOWAIT | M_ZERO); + if (rxr->vxrxr_rxbuf == NULL) + return (ENOMEM); } rxq->vxrxq_comp_ring.vxcr_ndesc = @@ -763,8 +793,10 @@ static int vmxnet3_init_txq(struct vmxnet3_softc *sc, int q) { struct vmxnet3_txqueue *txq; + struct vmxnet3_txring *txr; txq = &sc->vmx_txq[q]; + txr = &txq->vxtxq_cmd_ring; snprintf(txq->vxtxq_name, sizeof(txq->vxtxq_name), "%s-tx%d", device_get_nameunit(sc->vmx_dev), q); @@ -773,7 +805,12 @@ vmxnet3_init_txq(struct vmxnet3_softc *s txq->vxtxq_sc = sc; txq->vxtxq_id = q; - txq->vxtxq_cmd_ring.vxtxr_ndesc = sc->vmx_ntxdescs; + txr->vxtxr_ndesc = sc->vmx_ntxdescs; + txr->vxtxr_txbuf = malloc(txr->vxtxr_ndesc * + sizeof(struct vmxnet3_txbuf), M_DEVBUF, M_NOWAIT | M_ZERO); + if (txr->vxtxr_txbuf == NULL) + return (ENOMEM); + txq->vxtxq_comp_ring.vxcr_ndesc = sc->vmx_ntxdescs; return (0); @@ -809,10 +846,21 @@ vmxnet3_alloc_rxtx_queues(struct vmxnet3 static void vmxnet3_destroy_rxq(struct vmxnet3_rxqueue *rxq) { + struct vmxnet3_rxring *rxr; + int i; rxq->vxrxq_sc = NULL; rxq->vxrxq_id = -1; + for (i = 0; i < VMXNET3_RXRINGS_PERQ; i++) { + rxr = &rxq->vxrxq_cmd_ring[i]; + + if (rxr->vxrxr_rxbuf != NULL) { + free(rxr->vxrxr_rxbuf, M_DEVBUF); + rxr->vxrxr_rxbuf = NULL; + } + } + if (mtx_initialized(&rxq->vxrxq_mtx) != 0) mtx_destroy(&rxq->vxrxq_mtx); } @@ -820,13 +868,20 @@ vmxnet3_destroy_rxq(struct vmxnet3_rxque static void vmxnet3_destroy_txq(struct vmxnet3_txqueue *txq) { + struct vmxnet3_txring *txr; + + txr = &txq->vxtxq_cmd_ring; txq->vxtxq_sc = NULL; txq->vxtxq_id = -1; + if (txr->vxtxr_txbuf != NULL) { + free(txr->vxtxr_txbuf, M_DEVBUF); + txr->vxtxr_txbuf = NULL; + } + if (mtx_initialized(&txq->vxtxq_mtx) != 0) mtx_destroy(&txq->vxtxq_mtx); - } static void @@ -915,14 +970,15 @@ vmxnet3_alloc_txq_data(struct vmxnet3_so int i, q, error; dev = sc->vmx_dev; - descsz = sc->vmx_ntxdescs * sizeof(struct vmxnet3_txdesc); - compsz = sc->vmx_ntxdescs * sizeof(struct vmxnet3_txcompdesc); for (q = 0; q < sc->vmx_ntxqueues; q++) { txq = &sc->vmx_txq[q]; txr = &txq->vxtxq_cmd_ring; txc = &txq->vxtxq_comp_ring; + descsz = txr->vxtxr_ndesc * sizeof(struct vmxnet3_txdesc); + compsz = txr->vxtxr_ndesc * sizeof(struct vmxnet3_txcompdesc); + error = bus_dma_tag_create(bus_get_dma_tag(dev), 1, 0, /* alignment, boundary */ BUS_SPACE_MAXADDR, /* lowaddr */ @@ -958,9 +1014,9 @@ vmxnet3_alloc_txq_data(struct vmxnet3_so txc->vxcr_u.txcd = (struct vmxnet3_txcompdesc *) txc->vxcr_dma.dma_vaddr; - for (i = 0; i < sc->vmx_ntxdescs; i++) { + for (i = 0; i < txr->vxtxr_ndesc; i++) { error = bus_dmamap_create(txr->vxtxr_txtag, 0, - &txr->vxtxr_dmap[i]); + &txr->vxtxr_txbuf[i].vtxb_dmamap); if (error) { device_printf(dev, "unable to create Tx buf " "dmamap for queue %d idx %d\n", q, i); @@ -979,6 +1035,7 @@ vmxnet3_free_txq_data(struct vmxnet3_sof struct vmxnet3_txqueue *txq; struct vmxnet3_txring *txr; struct vmxnet3_comp_ring *txc; + struct vmxnet3_txbuf *txb; int i, q; dev = sc->vmx_dev; @@ -989,10 +1046,11 @@ vmxnet3_free_txq_data(struct vmxnet3_sof txc = &txq->vxtxq_comp_ring; for (i = 0; i < txr->vxtxr_ndesc; i++) { - if (txr->vxtxr_dmap[i] != NULL) { + txb = &txr->vxtxr_txbuf[i]; + if (txb->vtxb_dmamap != NULL) { bus_dmamap_destroy(txr->vxtxr_txtag, - txr->vxtxr_dmap[i]); - txr->vxtxr_dmap[i] = NULL; + txb->vtxb_dmamap); + txb->vtxb_dmamap = NULL; } } @@ -1024,9 +1082,7 @@ vmxnet3_alloc_rxq_data(struct vmxnet3_so int i, j, q, error; dev = sc->vmx_dev; - descsz = sc->vmx_nrxdescs * sizeof(struct vmxnet3_rxdesc); - compsz = sc->vmx_nrxdescs * sizeof(struct vmxnet3_rxcompdesc) * - VMXNET3_RXRINGS_PERQ; + compsz = 0; for (q = 0; q < sc->vmx_nrxqueues; q++) { rxq = &sc->vmx_rxq[q]; @@ -1035,6 +1091,11 @@ vmxnet3_alloc_rxq_data(struct vmxnet3_so for (i = 0; i < VMXNET3_RXRINGS_PERQ; i++) { rxr = &rxq->vxrxq_cmd_ring[i]; + descsz = rxr->vxrxr_ndesc * + sizeof(struct vmxnet3_rxdesc); + compsz += rxr->vxrxr_ndesc * + sizeof(struct vmxnet3_rxcompdesc); + error = bus_dma_tag_create(bus_get_dma_tag(dev), 1, 0, /* alignment, boundary */ BUS_SPACE_MAXADDR, /* lowaddr */ @@ -1086,9 +1147,9 @@ vmxnet3_alloc_rxq_data(struct vmxnet3_so return (error); } - for (j = 0; j < sc->vmx_nrxdescs; j++) { + for (j = 0; j < rxr->vxrxr_ndesc; j++) { error = bus_dmamap_create(rxr->vxrxr_rxtag, 0, - &rxr->vxrxr_dmap[j]); + &rxr->vxrxr_rxbuf[j].vrxb_dmamap); if (error) { device_printf(dev, "unable to create " "dmamap for queue %d/%d slot %d " @@ -1110,6 +1171,7 @@ vmxnet3_free_rxq_data(struct vmxnet3_sof struct vmxnet3_rxqueue *rxq; struct vmxnet3_rxring *rxr; struct vmxnet3_comp_ring *rxc; + struct vmxnet3_rxbuf *rxb; int i, j, q; dev = sc->vmx_dev; @@ -1128,10 +1190,11 @@ vmxnet3_free_rxq_data(struct vmxnet3_sof } for (j = 0; j < rxr->vxrxr_ndesc; j++) { - if (rxr->vxrxr_dmap[j] != NULL) { + rxb = &rxr->vxrxr_rxbuf[j]; + if (rxb->vrxb_dmamap != NULL) { bus_dmamap_destroy(rxr->vxrxr_rxtag, - rxr->vxrxr_dmap[j]); - rxr->vxrxr_dmap[j] = NULL; + rxb->vrxb_dmamap); + rxb->vrxb_dmamap = NULL; } } } @@ -1275,11 +1338,12 @@ vmxnet3_init_shared_data(struct vmxnet3_ rxs = rxq->vxrxq_rs; rxs->cmd_ring[0] = rxq->vxrxq_cmd_ring[0].vxrxr_dma.dma_paddr; - rxs->cmd_ring_len[0] = sc->vmx_nrxdescs; + rxs->cmd_ring_len[0] = rxq->vxrxq_cmd_ring[0].vxrxr_ndesc; rxs->cmd_ring[1] = rxq->vxrxq_cmd_ring[1].vxrxr_dma.dma_paddr; - rxs->cmd_ring_len[1] = sc->vmx_nrxdescs; + rxs->cmd_ring_len[1] = rxq->vxrxq_cmd_ring[1].vxrxr_ndesc; rxs->comp_ring = rxq->vxrxq_comp_ring.vxcr_dma.dma_paddr; - rxs->comp_ring_len = sc->vmx_nrxdescs * VMXNET3_RXRINGS_PERQ; + rxs->comp_ring_len = rxq->vxrxq_cmd_ring[0].vxrxr_ndesc + + rxq->vxrxq_cmd_ring[1].vxrxr_ndesc; rxs->driver_data = vtophys(rxq); rxs->driver_data_len = sizeof(struct vmxnet3_rxqueue); } @@ -1379,7 +1443,11 @@ vmxnet3_setup_interface(struct vmxnet3_s } if_initname(ifp, device_get_name(dev), device_get_unit(dev)); - if_initbaudrate(ifp, IF_Gbps(10)); /* Approx. */ +#if __FreeBSD_version < 1000025 + ifp->if_baudrate = 1000000000; +#else + if_initbaudrate(ifp, IF_Gbps(10)); +#endif ifp->if_softc = sc; ifp->if_flags = IFF_BROADCAST | IFF_SIMPLEX | IFF_MULTICAST; ifp->if_init = vmxnet3_init; @@ -1476,6 +1544,7 @@ vmxnet3_txq_eof(struct vmxnet3_txqueue * struct vmxnet3_txring *txr; struct vmxnet3_comp_ring *txc; struct vmxnet3_txcompdesc *txcd; + struct vmxnet3_txbuf *txb; u_int sop; sc = txq->vxtxq_sc; @@ -1496,14 +1565,15 @@ vmxnet3_txq_eof(struct vmxnet3_txqueue * } sop = txr->vxtxr_next; - if (txr->vxtxr_m[sop] != NULL) { - bus_dmamap_sync(txr->vxtxr_txtag, txr->vxtxr_dmap[sop], - BUS_DMASYNC_POSTWRITE); - bus_dmamap_unload(txr->vxtxr_txtag, - txr->vxtxr_dmap[sop]); + txb = &txr->vxtxr_txbuf[sop]; - m_freem(txr->vxtxr_m[sop]); - txr->vxtxr_m[sop] = NULL; + if (txb->vtxb_m != NULL) { + bus_dmamap_sync(txr->vxtxr_txtag, txb->vtxb_dmamap, + BUS_DMASYNC_POSTWRITE); + bus_dmamap_unload(txr->vxtxr_txtag, txb->vtxb_dmamap); + + m_freem(txb->vtxb_m); + txb->vtxb_m = NULL; ifp->if_opackets++; } @@ -1512,7 +1582,7 @@ vmxnet3_txq_eof(struct vmxnet3_txqueue * } if (txr->vxtxr_head == txr->vxtxr_next) - sc->vmx_watchdog_timer = 0; + txq->vxtxq_watchdog = 0; } static int @@ -1521,6 +1591,7 @@ vmxnet3_newbuf(struct vmxnet3_softc *sc, struct ifnet *ifp; struct mbuf *m; struct vmxnet3_rxdesc *rxd; + struct vmxnet3_rxbuf *rxb; bus_dma_tag_t tag; bus_dmamap_t dmap; bus_dma_segment_t segs[1]; @@ -1531,13 +1602,31 @@ vmxnet3_newbuf(struct vmxnet3_softc *sc, dmap = rxr->vxrxr_spare_dmap; idx = rxr->vxrxr_fill; rxd = &rxr->vxrxr_rxd[idx]; + rxb = &rxr->vxrxr_rxbuf[idx]; + +#ifdef VMXNET3_FAILPOINTS + KFAIL_POINT_CODE(VMXNET3_FP, newbuf, return ENOBUFS); + if (rxr->vxrxr_rid != 0) + KFAIL_POINT_CODE(VMXNET3_FP, newbuf_body_only, return ENOBUFS); +#endif if (rxr->vxrxr_rid == 0 && (idx % sc->vmx_rx_max_chain) == 0) { flags = M_PKTHDR; clsize = MCLBYTES; btype = VMXNET3_BTYPE_HEAD; } else { +#if __FreeBSD_version < 902001 + /* + * These mbufs will never be used for the start of a + * frame. However, roughly prior to branching releng/9.2, + * bus_dmamap_load_mbuf_sg() required the mbuf to always be + * a packet header. Avoid unnecessary mbuf initialization + * in newer versions where that is not the case. + */ + flags = M_PKTHDR; +#else flags = 0; +#endif clsize = MJUMPAGESIZE; btype = VMXNET3_BTYPE_BODY; } @@ -1546,7 +1635,7 @@ vmxnet3_newbuf(struct vmxnet3_softc *sc, if (m == NULL) return (ENOBUFS); - if (flags == M_PKTHDR) { + if (btype == VMXNET3_BTYPE_HEAD) { m->m_len = m->m_pkthdr.len = clsize; m_adj(m, ETHER_ALIGN); } else @@ -1561,15 +1650,14 @@ vmxnet3_newbuf(struct vmxnet3_softc *sc, KASSERT(nsegs == 1, ("%s: mbuf %p with too many segments %d", __func__, m, nsegs)); - if (rxr->vxrxr_m[idx] != NULL) { - bus_dmamap_sync(tag, rxr->vxrxr_dmap[idx], - BUS_DMASYNC_POSTREAD); - bus_dmamap_unload(tag, rxr->vxrxr_dmap[idx]); + if (rxb->vrxb_m != NULL) { + bus_dmamap_sync(tag, rxb->vrxb_dmamap, BUS_DMASYNC_POSTREAD); + bus_dmamap_unload(tag, rxb->vrxb_dmamap); } - rxr->vxrxr_spare_dmap = rxr->vxrxr_dmap[idx]; - rxr->vxrxr_dmap[idx] = dmap; - rxr->vxrxr_m[idx] = m; + rxr->vxrxr_spare_dmap = rxb->vrxb_dmamap; + rxb->vrxb_dmamap = dmap; + rxb->vrxb_m = m; rxd->addr = segs[0].ds_addr; rxd->len = segs[0].ds_len; @@ -1607,6 +1695,7 @@ vmxnet3_rxq_discard_chain(struct vmxnet3 rxcd = &rxc->vxcr_u.rxcd[rxc->vxcr_next]; if (rxcd->gen != rxc->vxcr_gen) break; /* Not expected. */ + vmxnet3_barrier(sc, VMXNET3_BARRIER_RD); if (++rxc->vxcr_next == rxc->vxcr_ndesc) { rxc->vxcr_next = 0; @@ -1686,7 +1775,7 @@ vmxnet3_rxq_eof(struct vmxnet3_rxqueue * sc = rxq->vxrxq_sc; ifp = sc->vmx_ifp; rxc = &rxq->vxrxq_comp_ring; - m_head = NULL; + m_head = m_tail = NULL; VMXNET3_RXQ_LOCK_ASSERT(rxq); @@ -1697,6 +1786,7 @@ vmxnet3_rxq_eof(struct vmxnet3_rxqueue * rxcd = &rxc->vxcr_u.rxcd[rxc->vxcr_next]; if (rxcd->gen != rxc->vxcr_gen) break; + vmxnet3_barrier(sc, VMXNET3_BARRIER_RD); if (++rxc->vxcr_next == rxc->vxcr_ndesc) { rxc->vxcr_next = 0; @@ -1711,7 +1801,7 @@ vmxnet3_rxq_eof(struct vmxnet3_rxqueue * rxr = &rxq->vxrxq_cmd_ring[1]; rxd = &rxr->vxrxr_rxd[idx]; - m = rxr->vxrxr_m[idx]; + m = rxr->vxrxr_rxbuf[idx].vrxb_m; KASSERT(m != NULL, ("%s: queue %d idx %d without mbuf", __func__, rxcd->qid, idx)); @@ -1790,7 +1880,7 @@ vmxnet3_rxq_eof(struct vmxnet3_rxqueue * } nextp: - if (rxq->vxrxq_rs->update_rxhead) { + if (__predict_false(rxq->vxrxq_rs->update_rxhead)) { int qid = rxcd->qid; bus_size_t r; @@ -1809,9 +1899,9 @@ static void vmxnet3_legacy_intr(void *xsc) { struct vmxnet3_softc *sc; - struct ifnet *ifp; struct vmxnet3_rxqueue *rxq; struct vmxnet3_txqueue *txq; + struct ifnet *ifp; sc = xsc; rxq = &sc->vmx_rxq[0]; @@ -1892,19 +1982,22 @@ static void vmxnet3_txstop(struct vmxnet3_softc *sc, struct vmxnet3_txqueue *txq) { struct vmxnet3_txring *txr; + struct vmxnet3_txbuf *txb; int i; txr = &txq->vxtxq_cmd_ring; for (i = 0; i < txr->vxtxr_ndesc; i++) { - if (txr->vxtxr_m[i] == NULL) + txb = &txr->vxtxr_txbuf[i]; + + if (txb->vtxb_m == NULL) continue; - bus_dmamap_sync(txr->vxtxr_txtag, txr->vxtxr_dmap[i], + bus_dmamap_sync(txr->vxtxr_txtag, txb->vtxb_dmamap, BUS_DMASYNC_POSTWRITE); - bus_dmamap_unload(txr->vxtxr_txtag, txr->vxtxr_dmap[i]); - m_freem(txr->vxtxr_m[i]); - txr->vxtxr_m[i] = NULL; + bus_dmamap_unload(txr->vxtxr_txtag, txb->vtxb_dmamap); + m_freem(txb->vtxb_m); + txb->vtxb_m = NULL; } } @@ -1912,19 +2005,22 @@ static void vmxnet3_rxstop(struct vmxnet3_softc *sc, struct vmxnet3_rxqueue *rxq) { struct vmxnet3_rxring *rxr; + struct vmxnet3_rxbuf *rxb; int i, j; for (i = 0; i < VMXNET3_RXRINGS_PERQ; i++) { rxr = &rxq->vxrxq_cmd_ring[i]; for (j = 0; j < rxr->vxrxr_ndesc; j++) { - if (rxr->vxrxr_m[j] == NULL) + rxb = &rxr->vxrxr_rxbuf[j]; + + if (rxb->vrxb_m == NULL) continue; - bus_dmamap_sync(rxr->vxrxr_rxtag, rxr->vxrxr_dmap[j], + bus_dmamap_sync(rxr->vxrxr_rxtag, rxb->vrxb_dmamap, BUS_DMASYNC_POSTREAD); - bus_dmamap_unload(rxr->vxrxr_rxtag, rxr->vxrxr_dmap[j]); - m_freem(rxr->vxrxr_m[j]); - rxr->vxrxr_m[j] = NULL; + bus_dmamap_unload(rxr->vxrxr_rxtag, rxb->vrxb_dmamap); + m_freem(rxb->vrxb_m); + rxb->vrxb_m = NULL; } } } @@ -2012,8 +2108,13 @@ vmxnet3_rxinit(struct vmxnet3_softc *sc, * can handle, we allocate a second MJUMPAGESIZE cluster after * it in ring 0. Ring 1 always contains MJUMPAGESIZE clusters. * - * XXX BMV Keep rx_man_chain a divisor of the maximum Rx ring - * size to make our life easier. + * Keep rx_max_chain a divisor of the maximum Rx ring size to + * to make our life easier. We do not support changing the ring + * size after the attach. + * + * TODO If LRO is not enabled, there is little point of even + * populating the second ring. + * */ if (frame_size <= MCLBYTES) sc->vmx_rx_max_chain = 1; @@ -2231,13 +2332,15 @@ vmxnet3_txq_offload_ctx(struct mbuf *m, } static int -vmxnet3_txq_load_mbuf(struct vmxnet3_txring *txr, struct mbuf **m0, +vmxnet3_txq_load_mbuf(struct vmxnet3_txqueue *txq, struct mbuf **m0, bus_dmamap_t dmap, bus_dma_segment_t segs[], int *nsegs) { + struct vmxnet3_txring *txr; struct mbuf *m; bus_dma_tag_t tag; int maxsegs, error; + txr = &txq->vxtxq_cmd_ring; m = *m0; tag = txr->vxtxr_txtag; maxsegs = VMXNET3_TX_MAXSEGS; @@ -2256,15 +2359,18 @@ vmxnet3_txq_load_mbuf(struct vmxnet3_txr if (error) { m_freem(*m0); *m0 = NULL; - } + } else + txq->vxtxq_sc->vmx_stats.vmst_collapsed++; return (error); } static void -vmxnet3_txq_unload_mbuf(struct vmxnet3_txring *txr, bus_dmamap_t dmap) +vmxnet3_txq_unload_mbuf(struct vmxnet3_txqueue *txq, bus_dmamap_t dmap) { + struct vmxnet3_txring *txr; + txr = &txq->vxtxq_cmd_ring; bus_dmamap_unload(txr->vxtxr_txtag, dmap); } @@ -2282,10 +2388,12 @@ vmxnet3_txq_encap(struct vmxnet3_txqueue sc = txq->vxtxq_sc; ifp = sc->vmx_ifp; + start = 0; + txd = NULL; txr = &txq->vxtxq_cmd_ring; - dmap = txr->vxtxr_dmap[txr->vxtxr_head]; + dmap = txr->vxtxr_txbuf[txr->vxtxr_head].vtxb_dmamap; - error = vmxnet3_txq_load_mbuf(txr, m0, dmap, segs, &nsegs); + error = vmxnet3_txq_load_mbuf(txq, m0, dmap, segs, &nsegs); if (error) return (error); @@ -2295,19 +2403,20 @@ vmxnet3_txq_encap(struct vmxnet3_txqueue ("%s: mbuf %p with too many segments %d", __func__, m, nsegs)); if (VMXNET3_TXRING_AVAIL(txr) < nsegs) { - vmxnet3_txq_unload_mbuf(txr, dmap); + txq->vxtxq_stats.vtxrs_full++; + vmxnet3_txq_unload_mbuf(txq, dmap); return (ENOSPC); } else if (m->m_pkthdr.csum_flags & VMXNET3_CSUM_ALL_OFFLOAD) { error = vmxnet3_txq_offload_ctx(m, &etype, &proto, &start); if (error) { - vmxnet3_txq_unload_mbuf(txr, dmap); + vmxnet3_txq_unload_mbuf(txq, dmap); m_freem(m); *m0 = NULL; return (error); } } - txr->vxtxr_m[txr->vxtxr_head] = m = *m0; + txr->vxtxr_txbuf[txr->vxtxr_head].vtxb_m = m = *m0; sop = &txr->vxtxr_txd[txr->vxtxr_head]; gen = txr->vxtxr_gen ^ 1; /* Owned by cpu (yet) */ @@ -2352,8 +2461,15 @@ vmxnet3_txq_encap(struct vmxnet3_txqueue } /* Finally, change the ownership. */ + vmxnet3_barrier(sc, VMXNET3_BARRIER_WR); sop->gen ^= 1; + if (++txq->vxtxq_ts->npending >= txq->vxtxq_ts->intr_threshold) { + txq->vxtxq_ts->npending = 0; + vmxnet3_write_bar0(sc, VMXNET3_BAR0_TXH(txq->vxtxq_id), + txr->vxtxr_head); + } + return (0); } @@ -2383,9 +2499,8 @@ vmxnet3_start_locked(struct ifnet *ifp) break; if (vmxnet3_txq_encap(txq, &m_head) != 0) { - if (m_head == NULL) - break; - IFQ_DRV_PREPEND(&ifp->if_snd, m_head); + if (m_head != NULL) + IFQ_DRV_PREPEND(&ifp->if_snd, m_head); break; } @@ -2394,9 +2509,12 @@ vmxnet3_start_locked(struct ifnet *ifp) } if (tx > 0) { - /* bus_dmamap_sync() ? */ - vmxnet3_write_bar0(sc, VMXNET3_BAR0_TXH(0), txr->vxtxr_head); - sc->vmx_watchdog_timer = VMXNET3_WATCHDOG_TIMEOUT; + if (txq->vxtxq_ts->npending > 0) { + txq->vxtxq_ts->npending = 0; + vmxnet3_write_bar0(sc, VMXNET3_BAR0_TXH(txq->vxtxq_id), + txr->vxtxr_head); + } + txq->vxtxq_watchdog = VMXNET3_WATCHDOG_TIMEOUT; } } @@ -2506,8 +2624,9 @@ vmxnet3_set_rxfilter(struct vmxnet3_soft ds->mcast_tablelen = cnt * ETHER_ADDR_LEN; } - vmxnet3_write_cmd(sc, VMXNET3_CMD_SET_FILTER); ds->rxmode = mode; + + vmxnet3_write_cmd(sc, VMXNET3_CMD_SET_FILTER); vmxnet3_write_cmd(sc, VMXNET3_CMD_SET_RXMODE); } @@ -2635,37 +2754,54 @@ vmxnet3_ioctl(struct ifnet *ifp, u_long return (error); } -static void -vmxnet3_watchdog(struct vmxnet3_softc *sc) +static int +vmxnet3_watchdog(struct vmxnet3_txqueue *txq) { - struct ifnet *ifp; - struct vmxnet3_txqueue *txq; + struct vmxnet3_softc *sc; - ifp = sc->vmx_ifp; - txq = &sc->vmx_txq[0]; + sc = txq->vxtxq_sc; VMXNET3_TXQ_LOCK(txq); - if (sc->vmx_watchdog_timer == 0 || --sc->vmx_watchdog_timer) { + if (txq->vxtxq_watchdog == 0 || --txq->vxtxq_watchdog) { VMXNET3_TXQ_UNLOCK(txq); - return; + return (0); } VMXNET3_TXQ_UNLOCK(txq); - if_printf(ifp, "watchdog timeout -- resetting\n"); - ifp->if_oerrors++; - ifp->if_drv_flags &= ~IFF_DRV_RUNNING; - vmxnet3_init_locked(sc); + if_printf(sc->vmx_ifp, "watchdog timeout on queue %d\n", + txq->vxtxq_id); + return (1); +} + +static void +vmxnet3_refresh_stats(struct vmxnet3_softc *sc) +{ + + vmxnet3_write_cmd(sc, VMXNET3_CMD_GET_STATS); } static void vmxnet3_tick(void *xsc) { struct vmxnet3_softc *sc; + struct ifnet *ifp; + int i, timedout; sc = xsc; + ifp = sc->vmx_ifp; + timedout = 0; - vmxnet3_watchdog(sc); - callout_reset(&sc->vmx_tick, hz, vmxnet3_tick, sc); + VMXNET3_CORE_LOCK_ASSERT(sc); + vmxnet3_refresh_stats(sc); + + for (i = 0; i < sc->vmx_ntxqueues; i++) + timedout |= vmxnet3_watchdog(&sc->vmx_txq[i]); + + if (timedout != 0) { + ifp->if_drv_flags &= ~IFF_DRV_RUNNING; + vmxnet3_init_locked(sc); + } else + callout_reset(&sc->vmx_tick, hz, vmxnet3_tick, sc); } static int @@ -2718,6 +2854,7 @@ vmxnet3_media_status(struct ifnet *ifp, static int vmxnet3_media_change(struct ifnet *ifp) { + /* Ignore. */ return (0); } @@ -2754,13 +2891,201 @@ vmxnet3_get_lladdr(struct vmxnet3_softc sc->vmx_lladdr[5] = mh >> 8; } -static uint32_t __unused -vmxnet3_read_bar0(struct vmxnet3_softc *sc, bus_size_t r) +static void +vmxnet3_setup_txq_sysctl(struct vmxnet3_txqueue *txq, + struct sysctl_ctx_list *ctx, struct sysctl_oid_list *child) +{ + struct sysctl_oid *node, *txsnode; + struct sysctl_oid_list *list, *txslist; + struct vmxnet3_txq_stats *stats; + struct UPT1_TxStats *txstats; + char namebuf[16]; + + stats = &txq->vxtxq_stats; + txstats = &txq->vxtxq_ts->stats; + + snprintf(namebuf, sizeof(namebuf), "txq%d", txq->vxtxq_id); + node = SYSCTL_ADD_NODE(ctx, child, OID_AUTO, namebuf, CTLFLAG_RD, + NULL, "Transmit Queue"); + txq->vxtxq_sysctl = list = SYSCTL_CHILDREN(node); + + SYSCTL_ADD_UQUAD(ctx, list, OID_AUTO, "ringfull", CTLFLAG_RD, + &stats->vtxrs_full, "Tx ring full"); + + /* + * Add statistics reported by the host. These are updated once + * per second. + */ + txsnode = SYSCTL_ADD_NODE(ctx, list, OID_AUTO, "hstats", CTLFLAG_RD, + NULL, "Host Statistics"); + txslist = SYSCTL_CHILDREN(txsnode); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "tso_packets", CTLFLAG_RD, + &txstats->TSO_packets, "TSO packets"); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "tso_bytes", CTLFLAG_RD, + &txstats->TSO_bytes, "TSO bytes"); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "ucast_packets", CTLFLAG_RD, + &txstats->ucast_packets, "Unicast packets"); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "unicast_bytes", CTLFLAG_RD, + &txstats->ucast_bytes, "Unicast bytes"); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "mcast_packets", CTLFLAG_RD, + &txstats->mcast_packets, "Multicast packets"); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "mcast_bytes", CTLFLAG_RD, + &txstats->mcast_bytes, "Multicast bytes"); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "error", CTLFLAG_RD, + &txstats->error, "Errors"); + SYSCTL_ADD_UQUAD(ctx, txslist, OID_AUTO, "discard", CTLFLAG_RD, + &txstats->discard, "Discards"); +} + +static void +vmxnet3_setup_rxq_sysctl(struct vmxnet3_rxqueue *rxq, + struct sysctl_ctx_list *ctx, struct sysctl_oid_list *child) +{ + struct sysctl_oid *node, *rxsnode; + struct sysctl_oid_list *list, *rxslist; + struct vmxnet3_rxq_stats *stats; + struct UPT1_RxStats *rxstats; + char namebuf[16]; + + stats = &rxq->vxrxq_stats; + rxstats = &rxq->vxrxq_rs->stats; + + snprintf(namebuf, sizeof(namebuf), "rxq%d", rxq->vxrxq_id); + node = SYSCTL_ADD_NODE(ctx, child, OID_AUTO, namebuf, CTLFLAG_RD, + NULL, "Receive Queue"); + rxq->vxrxq_sysctl = list = SYSCTL_CHILDREN(node); + + /* + * Add statistics reported by the host. These are updated once + * per second. + */ + rxsnode = SYSCTL_ADD_NODE(ctx, list, OID_AUTO, "hstats", CTLFLAG_RD, + NULL, "Host Statistics"); + rxslist = SYSCTL_CHILDREN(rxsnode); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "lro_packets", CTLFLAG_RD, + &rxstats->LRO_packets, "LRO packets"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "lro_bytes", CTLFLAG_RD, + &rxstats->LRO_bytes, "LRO bytes"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "ucast_packets", CTLFLAG_RD, + &rxstats->ucast_packets, "Unicast packets"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "unicast_bytes", CTLFLAG_RD, + &rxstats->ucast_bytes, "Unicast bytes"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "mcast_packets", CTLFLAG_RD, + &rxstats->mcast_packets, "Multicast packets"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "mcast_bytes", CTLFLAG_RD, + &rxstats->mcast_bytes, "Multicast bytes"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "bcast_packets", CTLFLAG_RD, + &rxstats->bcast_packets, "Broadcast packets"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "bcast_bytes", CTLFLAG_RD, + &rxstats->bcast_bytes, "Broadcast bytes"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "nobuffer", CTLFLAG_RD, + &rxstats->nobuffer, "No buffer"); + SYSCTL_ADD_UQUAD(ctx, rxslist, OID_AUTO, "error", CTLFLAG_RD, + &rxstats->error, "Errors"); +} + +#ifdef VMXNET3_DEBUG_SYSCTL +static void +vmxnet3_setup_debug_sysctl(struct vmxnet3_softc *sc, + struct sysctl_ctx_list *ctx, struct sysctl_oid_list *child) +{ + struct sysctl_oid *node; + struct sysctl_oid_list *list; + int i; + + for (i = 0; i < sc->vmx_ntxqueues; i++) { + struct vmxnet3_txqueue *txq = &sc->vmx_txq[i]; + + node = SYSCTL_ADD_NODE(ctx, txq->vxtxq_sysctl, OID_AUTO, + "debug", CTLFLAG_RD, NULL, ""); + list = SYSCTL_CHILDREN(node); + + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "cmd_head", CTLFLAG_RD, + &txq->vxtxq_cmd_ring.vxtxr_head, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "cmd_next", CTLFLAG_RD, + &txq->vxtxq_cmd_ring.vxtxr_next, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "cmd_ndesc", CTLFLAG_RD, + &txq->vxtxq_cmd_ring.vxtxr_ndesc, 0, ""); + SYSCTL_ADD_INT(ctx, list, OID_AUTO, "cmd_gen", CTLFLAG_RD, + &txq->vxtxq_cmd_ring.vxtxr_gen, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "comp_next", CTLFLAG_RD, + &txq->vxtxq_comp_ring.vxcr_next, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "comp_ndesc", CTLFLAG_RD, + &txq->vxtxq_comp_ring.vxcr_ndesc, 0,""); + SYSCTL_ADD_INT(ctx, list, OID_AUTO, "comp_gen", CTLFLAG_RD, + &txq->vxtxq_comp_ring.vxcr_gen, 0, ""); + } + + for (i = 0; i < sc->vmx_nrxqueues; i++) { + struct vmxnet3_rxqueue *rxq = &sc->vmx_rxq[i]; + + node = SYSCTL_ADD_NODE(ctx, rxq->vxrxq_sysctl, OID_AUTO, + "debug", CTLFLAG_RD, NULL, ""); + list = SYSCTL_CHILDREN(node); + + /* Assumes VMXNET3_RXRINGS_PERQ == 2. */ + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "cmd0_fill", CTLFLAG_RD, + &rxq->vxrxq_cmd_ring[0].vxrxr_fill, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "cmd0_ndesc", CTLFLAG_RD, + &rxq->vxrxq_cmd_ring[0].vxrxr_ndesc, 0, ""); + SYSCTL_ADD_INT(ctx, list, OID_AUTO, "cmd0_gen", CTLFLAG_RD, + &rxq->vxrxq_cmd_ring[0].vxrxr_gen, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "cmd1_fill", CTLFLAG_RD, + &rxq->vxrxq_cmd_ring[1].vxrxr_fill, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "cmd1_ndesc", CTLFLAG_RD, + &rxq->vxrxq_cmd_ring[1].vxrxr_ndesc, 0, ""); + SYSCTL_ADD_INT(ctx, list, OID_AUTO, "cmd1_gen", CTLFLAG_RD, + &rxq->vxrxq_cmd_ring[1].vxrxr_gen, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "comp_next", CTLFLAG_RD, + &rxq->vxrxq_comp_ring.vxcr_next, 0, ""); + SYSCTL_ADD_UINT(ctx, list, OID_AUTO, "comp_ndesc", CTLFLAG_RD, + &rxq->vxrxq_comp_ring.vxcr_ndesc, 0,""); + SYSCTL_ADD_INT(ctx, list, OID_AUTO, "comp_gen", CTLFLAG_RD, + &rxq->vxrxq_comp_ring.vxcr_gen, 0, ""); + } +} +#endif + +static void +vmxnet3_setup_queue_sysctl(struct vmxnet3_softc *sc, + struct sysctl_ctx_list *ctx, struct sysctl_oid_list *child) +{ + int i; + + for (i = 0; i < sc->vmx_ntxqueues; i++) + vmxnet3_setup_txq_sysctl(&sc->vmx_txq[i], ctx, child); + for (i = 0; i < sc->vmx_nrxqueues; i++) + vmxnet3_setup_rxq_sysctl(&sc->vmx_rxq[i], ctx, child); + +#ifdef VMXNET3_DEBUG_SYSCTL + vmxnet3_setup_debug_sysctl(sc, ctx, child); +#endif +} + +static void +vmxnet3_setup_sysctl(struct vmxnet3_softc *sc) { + device_t dev; + struct vmxnet3_statistics *stats; + struct sysctl_ctx_list *ctx; + struct sysctl_oid *tree; + struct sysctl_oid_list *child; - bus_space_barrier(sc->vmx_iot0, sc->vmx_ioh0, r, 4, - BUS_SPACE_BARRIER_READ); - return (bus_space_read_4(sc->vmx_iot0, sc->vmx_ioh0, r)); + dev = sc->vmx_dev; + ctx = device_get_sysctl_ctx(dev); + tree = device_get_sysctl_tree(dev); + child = SYSCTL_CHILDREN(tree); + + SYSCTL_ADD_INT(ctx, child, OID_AUTO, "ntxqueues", CTLFLAG_RD, + &sc->vmx_ntxqueues, 0, "Number of Tx queues"); + SYSCTL_ADD_INT(ctx, child, OID_AUTO, "nrxqueues", CTLFLAG_RD, + &sc->vmx_nrxqueues, 0, "Number of Rx queues"); + + stats = &sc->vmx_stats; + SYSCTL_ADD_UQUAD(ctx, child, OID_AUTO, "collapsed", CTLFLAG_RD, + &stats->vmst_collapsed, "Tx mbuf chains collapsed"); + + vmxnet3_setup_queue_sysctl(sc, ctx, child); } static void @@ -2768,16 +3093,12 @@ vmxnet3_write_bar0(struct vmxnet3_softc { bus_space_write_4(sc->vmx_iot0, sc->vmx_ioh0, r, v); - bus_space_barrier(sc->vmx_iot0, sc->vmx_ioh0, r, 4, - BUS_SPACE_BARRIER_WRITE); } static uint32_t vmxnet3_read_bar1(struct vmxnet3_softc *sc, bus_size_t r) { - bus_space_barrier(sc->vmx_iot1, sc->vmx_ioh1, r, 4, - BUS_SPACE_BARRIER_READ); return (bus_space_read_4(sc->vmx_iot1, sc->vmx_ioh1, r)); } @@ -2786,8 +3107,6 @@ vmxnet3_write_bar1(struct vmxnet3_softc { bus_space_write_4(sc->vmx_iot1, sc->vmx_ioh1, r, v); - bus_space_barrier(sc->vmx_iot1, sc->vmx_ioh1, r, 4, - BUS_SPACE_BARRIER_WRITE); } *** DIFF OUTPUT TRUNCATED AT 1000 LINES *** From owner-svn-src-projects@FreeBSD.ORG Sun Aug 4 22:14:02 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id D640DAA8; Sun, 4 Aug 2013 22:14:02 +0000 (UTC) (envelope-from bryanv@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id C0D602BE4; Sun, 4 Aug 2013 22:14:02 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r74ME2ON017055; Sun, 4 Aug 2013 22:14:02 GMT (envelope-from bryanv@svn.freebsd.org) Received: (from bryanv@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r74ME2Bq017053; Sun, 4 Aug 2013 22:14:02 GMT (envelope-from bryanv@svn.freebsd.org) Message-Id: <201308042214.r74ME2Bq017053@svn.freebsd.org> From: Bryan Venteicher Date: Sun, 4 Aug 2013 22:14:02 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253945 - in projects/vmxnet/sys: dev/vmware/vmt modules/vmware/vmt X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 04 Aug 2013 22:14:02 -0000 Author: bryanv Date: Sun Aug 4 22:14:02 2013 New Revision: 253945 URL: http://svnweb.freebsd.org/changeset/base/253945 Log: Add compile only tested port of OpenBSD VMware Tools driver (vmt) I doubt I'll have any more time to work on this any time soon, but commit it now in case somebody is interested in hacking on it. Added: projects/vmxnet/sys/dev/vmware/vmt/ projects/vmxnet/sys/dev/vmware/vmt/vmt.c (contents, props changed) projects/vmxnet/sys/dev/vmware/vmt/vmtreg.h (contents, props changed) projects/vmxnet/sys/modules/vmware/vmt/ projects/vmxnet/sys/modules/vmware/vmt/Makefile (contents, props changed) Added: projects/vmxnet/sys/dev/vmware/vmt/vmt.c ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/sys/dev/vmware/vmt/vmt.c Sun Aug 4 22:14:02 2013 (r253945) @@ -0,0 +1,1069 @@ +/*- + * Copyright (c) 2007 David Crawshaw + * Copyright (c) 2008 David Gwynne + * + * Permission to use, copy, modify, and distribute this software for any + * purpose with or without fee is hereby granted, provided that the above + * copyright notice and this permission notice appear in all copies. + * + * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES + * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR + * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES + * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN + * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF + * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. + * + * $OpenBSD: src/sys/dev/vmt.c,v 1.13 2013/07/03 15:26:02 sf Exp $ + */ + +/* + * Protocol reverse engineered by Ken Kato: + * http://chitchat.at.infoseek.co.jp/vmware/backdoor.html + */ + +#include +__FBSDID("$FreeBSD$"); + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#include +#include +#include + +#include + +#include "vmtreg.h" + +#define VMT_RPC_BUFLEN 256 + +struct vmt_softc { + struct mtx sc_mtx; + device_t sc_dev; + struct vm_rpc sc_tclo_rpc; + char *sc_rpc_buf; + int sc_rpc_error; + int sc_tclo_ping; + int sc_set_guest_os; + int sc_removing; + struct callout sc_tick; + struct callout sc_tclo_tick; + char sc_hostname[MAXHOSTNAMELEN]; +}; + +#define VMT_LOCK(_sc) mtx_lock(&(_sc)->sc_mtx) +#define VMT_UNLOCK(_sc) mtx_unlock(&(_sc)->sc_mtx) + +static void vmt_identify(driver_t *, device_t); +static int vmt_probe(device_t); +static int vmt_attach(device_t); +static int vmt_detach(device_t); +static int vmt_shutdown(device_t); + +static void vm_cmd(struct vm_backdoor *); +static void vm_ins(struct vm_backdoor *); +static void vm_outs(struct vm_backdoor *); + +/* Functions for communicating with the VM Host. */ +static int vm_rpc_open(struct vm_rpc *, uint32_t); +static int vm_rpc_close(struct vm_rpc *); +static int vm_rpc_send(const struct vm_rpc *, const uint8_t *, uint32_t); +static int vm_rpc_send_str(const struct vm_rpc *, const uint8_t *); +static int vm_rpc_get_length(const struct vm_rpc *, uint32_t *, + uint16_t *); +static int vm_rpc_get_data(const struct vm_rpc *, char *, uint32_t, + uint16_t); +static int vm_rpc_send_rpci_tx_buf(struct vmt_softc *, const uint8_t *, + uint32_t); +static int vm_rpc_send_rpci_tx(struct vmt_softc *, const char *, ...) + __printflike(2 ,3); +static int vm_rpci_response_successful(struct vmt_softc *); + +static void vmt_probe_cmd(struct vm_backdoor *, uint16_t); +static void vmt_tclo_state_change_success(struct vmt_softc *, int, char); +static void vmt_do_reboot(struct vmt_softc *); +static void vmt_do_shutdown(struct vmt_softc *); + +static void vmt_disconnect(struct vmt_softc *); + +static void vmt_update_guest_info(struct vmt_softc *); +static void vmt_update_guest_uptime(struct vmt_softc *); + +static void vmt_tick(void *); +static void vmt_tclo_tick(void *); + +extern char hostname[MAXHOSTNAMELEN]; /* prison0.pr_hostname */ + +static device_method_t vmt_methods[] = { + DEVMETHOD(device_identify, vmt_identify), + DEVMETHOD(device_probe, vmt_probe), + DEVMETHOD(device_attach, vmt_attach), + DEVMETHOD(device_detach, vmt_detach), + DEVMETHOD(device_shutdown, vmt_shutdown), + + DEVMETHOD_END +}; + +static driver_t vmt_driver = { + "vmt", vmt_methods, sizeof(struct vmt_softc) +}; + +static devclass_t vmt_devclass; +DRIVER_MODULE(vmt, nexus, vmt_driver, vmt_devclass, 0, 0); + +static void +vmt_probe_cmd(struct vm_backdoor *frame, uint16_t cmd) +{ + + bzero(frame, sizeof(*frame)); + + frame->eax.word = VM_MAGIC; + frame->ebx.word = ~VM_MAGIC; + frame->ecx.part.low = cmd; + frame->ecx.part.high = 0xFFFF; + frame->edx.part.low = VM_PORT_CMD; + frame->edx.part.high = 0; + + vm_cmd(frame); +} + +static void +vmt_identify(driver_t *driver, device_t parent) +{ + struct vm_backdoor frame; + + if (vm_guest != VM_GUEST_VM) + return; + + if (device_find_child(parent, driver->name, -1) != NULL) + return; + + vmt_probe_cmd(&frame, VM_CMD_GET_VERSION); + if (frame.eax.word == 0XFFFFFFFF || frame.ebx.word != VM_MAGIC) + return; + + vmt_probe_cmd(&frame, VM_CMD_GET_SPEED); + if (frame.eax.word == VM_MAGIC) + return; + + if (BUS_ADD_CHILD(parent, 0, driver->name, 0) == NULL) + device_printf(parent, "add vmt child failed\n"); +} + +static int +vmt_probe(device_t dev) +{ + + device_set_desc(dev, "VMware Tools Device"); + return (0); +} + +static int +vmt_attach(device_t dev) +{ + struct vmt_softc *sc; + int error; + + sc = device_get_softc(dev); + sc->sc_dev = dev; + + mtx_init(&sc->sc_mtx, "vmt", NULL, MTX_DEF); + + sc->sc_rpc_buf = malloc(VMT_RPC_BUFLEN, M_DEVBUF, M_NOWAIT | M_ZERO); + if (sc->sc_rpc_buf == NULL) { + error = ENOMEM; + device_printf(dev, "unable to allocate buffer for RPC\n"); + goto fail; + } + + error = vm_rpc_open(&sc->sc_tclo_rpc, VM_RPC_OPEN_TCLO); + if (error) { + device_printf(dev, + "failed to open backdoor RPC channel (TCLO protocol)\n"); + goto fail; + } + + /* Don't know if this is important at all yet. */ + error = vm_rpc_send_rpci_tx(sc, + "tools.capability.hgfs_server toolbox 1"); + if (error) { + device_printf(dev, "failed to set HGFS server capability\n"); + goto fail; + } + + callout_init_mtx(&sc->sc_tick, &sc->sc_mtx, 0); + callout_reset(&sc->sc_tick, hz, vmt_tick, sc); + + sc->sc_tclo_ping = 1; + callout_init_mtx(&sc->sc_tclo_tick, &sc->sc_mtx, 0); + callout_reset(&sc->sc_tclo_tick, hz, vmt_tclo_tick, sc); + +fail: + if (error) + vmt_detach(dev); + + return (error); +} + +static int +vmt_detach(device_t dev) +{ + struct vmt_softc *sc; + + sc = device_get_softc(dev); + + if (device_is_attached(dev)) { + VMT_LOCK(sc); + sc->sc_removing = 1; + vmt_disconnect(sc); + VMT_UNLOCK(sc); + + callout_drain(&sc->sc_tick); + callout_drain(&sc->sc_tclo_tick); + } + + if (sc->sc_rpc_buf != NULL) { + free(sc->sc_rpc_buf, M_DEVBUF); + sc->sc_rpc_buf = NULL; + } + + mtx_destroy(&sc->sc_mtx); + + return (0); +} + +static int +vmt_shutdown(device_t dev) +{ + + return (0); +} + +static void +vmt_update_guest_uptime(struct vmt_softc *sc) +{ + + /* Host wants uptime in hundredths of a second. */ + if (vm_rpc_send_rpci_tx(sc, "SetGuestInfo %d %lld00", + VM_GUEST_INFO_UPTIME, (long long)time_uptime) != 0) { + device_printf(sc->sc_dev, "unable to set guest uptime\n"); + sc->sc_rpc_error = 1; + } +} + +static void +vmt_update_guest_info(struct vmt_softc *sc) +{ + device_t dev; + int error; + + dev = sc->sc_dev; + + if (strncmp(sc->sc_hostname, hostname, sizeof(sc->sc_hostname)) != 0) { + strlcpy(sc->sc_hostname, hostname, sizeof(sc->sc_hostname)); + + error = vm_rpc_send_rpci_tx(sc, "SetGuestInfo %d %s", + VM_GUEST_INFO_DNS_NAME, sc->sc_hostname); + if (error) { + device_printf(dev, "unable to set hostname\n"); + sc->sc_rpc_error = 1; + } + } + + /* + * We're supposed to pass the full network address information back + * here, but that involves xdr (sunrpc) data encoding, which seems + * a bit unreasonable. + */ + + if (sc->sc_set_guest_os == 0) { + /* See linux_misc.c for this ... */ + error = vm_rpc_send_rpci_tx(sc, "SetGuestInfo %d %s %s %s", + VM_GUEST_INFO_OS_NAME_FULL, ostype, osrelease, version); + if (error) { + device_printf(dev, "unable to set full guest OS\n"); + sc->sc_rpc_error = 1; + } + + error = vm_rpc_send_rpci_tx(sc, "SetGuestInfo %d %s", + VM_GUEST_INFO_OS_NAME, "FreeBSD"); + if (error) { + device_printf(dev, "unable to set guest OS\n"); + sc->sc_rpc_error = 1; + } + + sc->sc_set_guest_os = 1; + } +} + +static void +vmt_tick(void *xsc) +{ + struct vmt_softc *sc; + struct vm_backdoor frame; + struct timeval guest; + struct timeval host, diff __unused; + + sc = xsc; + + if (sc->sc_removing != 0) + return; + + microtime(&guest); + + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ecx.part.low = VM_CMD_GET_TIME_FULL; + frame.edx.part.low = VM_PORT_CMD; + vm_cmd(&frame); + + if (frame.eax.word != 0XFFFFFFFF) { + host.tv_sec = ((uint64_t)frame.esi.word << 32) | frame.edx.word; + host.tv_usec = frame.ebx.word; + +#if 0 + timersub(&guest, &host, &diff); + sc->sc_sensor.value = (u_int64_t)diff.tv_sec * 1000000000LL + + (u_int64_t)diff.tv_usec * 1000LL; + sc->sc_sensor.status = SENSOR_S_OK; +#endif + } +#if 0 + else + sc->sc_sensor.status = SENSOR_S_UNKNOWN; +#endif + + vmt_update_guest_info(sc); + vmt_update_guest_uptime(sc); + + callout_schedule(&sc->sc_tick, 15 * hz); +} + +static void +vmt_tclo_state_change_success(struct vmt_softc *sc, int success, char state) +{ + + if (vm_rpc_send_rpci_tx(sc, "tools.os.statechange.status %d %d", + success, state) != 0) { + device_printf(sc->sc_dev, + "unable to send state change result\n"); + sc->sc_rpc_error = 1; + } +} + +static void +vmt_do_reboot(struct vmt_softc *sc) +{ + + vmt_tclo_state_change_success(sc, 1, VM_STATE_CHANGE_REBOOT); + vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_OK); + + log(LOG_KERN | LOG_NOTICE, + "Rebooting in response to request from VMware host\n"); + shutdown_nice(0); +} + +static void +vmt_do_shutdown(struct vmt_softc *sc) +{ + + vmt_tclo_state_change_success(sc, 1, VM_STATE_CHANGE_HALT); + vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_OK); + + log(LOG_KERN | LOG_NOTICE, + "Shutting down in response to request from VMware host\n"); + shutdown_nice(RB_POWEROFF | RB_HALT); +} + +static void +vmt_disconnect(struct vmt_softc *sc) +{ + device_t dev; + int error; + + dev = sc->sc_dev; + + error = vm_rpc_send_rpci_tx(sc, + "tools.capability.hgfs_server toolbox 0"); + if (error) + device_printf(dev, "failed to disable hgfs server capability\n"); + + if (vm_rpc_send(&sc->sc_tclo_rpc, NULL, 0) != 0) + device_printf(dev, "failed to send shutdown ping\n"); + + vm_rpc_close(&sc->sc_tclo_rpc); +} + +static void +vmt_tclo_tick(void *xsc) +{ + struct vmt_softc *sc; + device_t dev; + uint32_t rlen; + uint16_t ack; + int error; + + sc = xsc; + dev = sc->sc_dev; + + if (sc->sc_removing != 0) + return; + + /* Reopen tclo channel if it's currently closed. */ + if (sc->sc_tclo_rpc.channel == 0 && sc->sc_tclo_rpc.cookie1 == 0 && + sc->sc_tclo_rpc.cookie2 == 0) { + if (vm_rpc_open(&sc->sc_tclo_rpc, VM_RPC_OPEN_TCLO) != 0) { + device_printf(dev, "unable to reopen TCLO channel\n"); + callout_schedule(&sc->sc_tclo_tick, 15 * hz); + return; + } + + if (vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_RESET_REPLY) != 0) { + device_printf(dev, "failed to send reset reply\n"); + sc->sc_rpc_error = 1; + goto out; + } else + sc->sc_rpc_error = 0; + } + + if (sc->sc_tclo_ping) { + if (vm_rpc_send(&sc->sc_tclo_rpc, NULL, 0) != 0) { + device_printf(dev, "failed to send TCLO outgoing ping\n"); + sc->sc_rpc_error = 1; + goto out; + } + } + + if (vm_rpc_get_length(&sc->sc_tclo_rpc, &rlen, &ack) != 0) { + device_printf(dev, + "failed to get length of incoming TCLO data\n"); + sc->sc_rpc_error = 1; + goto out; + } + + if (rlen == 0) { + sc->sc_tclo_ping = 1; + goto out; + } else if (rlen >= VMT_RPC_BUFLEN) + rlen = VMT_RPC_BUFLEN - 1; + + if (vm_rpc_get_data(&sc->sc_tclo_rpc, sc->sc_rpc_buf, rlen, ack) != 0) { + device_printf(dev, "failed to get incoming TCLO data\n"); + sc->sc_rpc_error = 1; + goto out; + } + + sc->sc_tclo_ping = 0; + + if (strcmp(sc->sc_rpc_buf, "reset") == 0) { + if (sc->sc_rpc_error != 0) { + device_printf(dev, "resetting rpc\n"); + vm_rpc_close(&sc->sc_tclo_rpc); + /* Reopen and send the reset reply next time around. */ + goto out; + } + + if (vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_RESET_REPLY) != 0) { + device_printf(dev, "failed to send reset reply\n"); + sc->sc_rpc_error = 1; + } + } else if (strcmp(sc->sc_rpc_buf, "ping") == 0) { + vmt_update_guest_info(sc); + if (vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_OK) != 0) { + device_printf(dev, "error sending ping response\n"); + sc->sc_rpc_error = 1; + } + } else if (strcmp(sc->sc_rpc_buf, "OS_Halt") == 0) { + vmt_do_shutdown(sc); + } else if (strcmp(sc->sc_rpc_buf, "OS_Reboot") == 0) { + vmt_do_reboot(sc); + } else if (strcmp(sc->sc_rpc_buf, "OS_PowerOn") == 0) { + vmt_tclo_state_change_success(sc, 1, VM_STATE_CHANGE_POWERON); + if (vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_OK) != 0) { + device_printf(dev, "error sending poweron response\n"); + sc->sc_rpc_error = 1; + } + } else if (strcmp(sc->sc_rpc_buf, "OS_Suspend") == 0) { + log(LOG_KERN | LOG_NOTICE, + "VMware guest entering suspended state\n"); + + vmt_tclo_state_change_success(sc, 1, VM_STATE_CHANGE_SUSPEND); + if (vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_OK) != 0) { + device_printf(dev, "error sending suspend response\n"); + sc->sc_rpc_error = 1; + } + } else if (strcmp(sc->sc_rpc_buf, "OS_Resume") == 0) { + log(LOG_KERN | LOG_NOTICE, + "VMware guest resuming from suspended state\n"); + + /* Force guest info update. */ + sc->sc_hostname[0] = '\0'; + sc->sc_set_guest_os = 0; + vmt_update_guest_info(sc); + + vmt_tclo_state_change_success(sc, 1, VM_STATE_CHANGE_RESUME); + if (vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_OK) != 0) { + device_printf(dev, "error sending resume response\n"); + sc->sc_rpc_error = 1; + } + } else if (strcmp(sc->sc_rpc_buf, "Capabilities_Register") == 0) { + /* Don't know if this is important at all. */ + if (vm_rpc_send_rpci_tx(sc, + "vmx.capability.unified_loop toolbox") != 0) { + device_printf(dev, "unable to set unified loop\n"); + sc->sc_rpc_error = 1; + } else if (vm_rpci_response_successful(sc) == 0) + device_printf(dev, "host rejected unified loop setting\n"); + + /* The trailing space is apparently important here. */ + if (vm_rpc_send_rpci_tx(sc, "tools.capability.statechange ") != 0) { + device_printf(dev, + "unable to send statechange capability\n"); + sc->sc_rpc_error = 1; + } else if (vm_rpci_response_successful(sc) == 0) + device_printf(dev, "host rejected statechange capability\n"); + + if (vm_rpc_send_rpci_tx(sc, "tools.set.version %u", + VM_VERSION_UNMANAGED) != 0) { + device_printf(dev, "unable to set tools version\n"); + sc->sc_rpc_error = 1; + } + + vmt_update_guest_uptime(sc); + + if (vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_OK) != 0) { + device_printf(dev, + "error sending capabilities_register response\n"); + sc->sc_rpc_error = 1; + } + } else if (strcmp(sc->sc_rpc_buf, "Set_Option broadcastIP 1") == 0) { +#if 0 + struct ifnet *iface; + struct sockaddr_in *guest_ip; + + /* Find first available ipv4 address. */ + guest_ip = NULL; + TAILQ_FOREACH(iface, &ifnet, if_list) { + struct ifaddr *iface_addr; + + /* skip loopback */ + if (strncmp(iface->if_xname, "lo", 2) == 0 && + iface->if_xname[2] >= '0' && iface->if_xname[2] <= '9') { + continue; + } + + TAILQ_FOREACH(iface_addr, &iface->if_addrlist, ifa_list) { + if (iface_addr->ifa_addr->sa_family != AF_INET) { + continue; + } + + guest_ip = satosin(iface_addr->ifa_addr); + break; + } + } + + if (guest_ip != NULL) { + if (vm_rpc_send_rpci_tx(sc, "info-set guestinfo.ip %s", + inet_ntoa(guest_ip->sin_addr)) != 0) { + device_printf(dev, + "unable to send guest IP address\n"); + sc->sc_rpc_error = 1; + } + + if (vm_rpc_send_str(&sc->sc_tclo_rpc, + VM_RPC_REPLY_OK) != 0) { + device_printf(dev, + "error sending broadcastIP response\n"); + sc->sc_rpc_error = 1; + } + } else { + if (vm_rpc_send_str(&sc->sc_tclo_rpc, + VM_RPC_REPLY_ERROR_IP_ADDR) != 0) { + device_printf(dev, + "error sending broadcastIP error response\n"); + sc->sc_rpc_error = 1; + } + } +#endif + } else { + error = vm_rpc_send_str(&sc->sc_tclo_rpc, VM_RPC_REPLY_ERROR); + if (error) { + device_printf(dev, + "error sending unknown command reply\n"); + sc->sc_rpc_error = 1; + } + } + +out: + callout_schedule(&sc->sc_tclo_tick, hz); +} + +#define BACKDOOR_OP_I386(op, frame) \ + __asm__ __volatile__ ( \ + "pushal;" \ + "pushl %%eax;" \ + "movl 0x18(%%eax), %%ebp;" \ + "movl 0x14(%%eax), %%edi;" \ + "movl 0x10(%%eax), %%esi;" \ + "movl 0x0c(%%eax), %%edx;" \ + "movl 0x08(%%eax), %%ecx;" \ + "movl 0x04(%%eax), %%ebx;" \ + "movl 0x00(%%eax), %%eax;" \ + op \ + "xchgl %%eax, 0x00(%%esp);" \ + "movl %%ebp, 0x18(%%eax);" \ + "movl %%edi, 0x14(%%eax);" \ + "movl %%esi, 0x10(%%eax);" \ + "movl %%edx, 0x0c(%%eax);" \ + "movl %%ecx, 0x08(%%eax);" \ + "movl %%ebx, 0x04(%%eax);" \ + "popl 0x00(%%eax);" \ + "popal;" \ + ::"a"(frame) \ + ) + +#define BACKDOOR_OP_AMD64(op, frame) \ + __asm__ __volatile__ ( \ + "pushq %%rbp; \n\t" \ + "pushq %%rax; \n\t" \ + "movq 0x30(%%rax), %%rbp; \n\t" \ + "movq 0x28(%%rax), %%rdi; \n\t" \ + "movq 0x20(%%rax), %%rsi; \n\t" \ + "movq 0x18(%%rax), %%rdx; \n\t" \ + "movq 0x10(%%rax), %%rcx; \n\t" \ + "movq 0x08(%%rax), %%rbx; \n\t" \ + "movq 0x00(%%rax), %%rax; \n\t" \ + op "\n\t" \ + "xchgq %%rax, 0x00(%%rsp); \n\t" \ + "movq %%rbp, 0x30(%%rax); \n\t" \ + "movq %%rdi, 0x28(%%rax); \n\t" \ + "movq %%rsi, 0x20(%%rax); \n\t" \ + "movq %%rdx, 0x18(%%rax); \n\t" \ + "movq %%rcx, 0x10(%%rax); \n\t" \ + "movq %%rbx, 0x08(%%rax); \n\t" \ + "popq 0x00(%%rax); \n\t" \ + "popq %%rbp; \n\t" \ + : /* No outputs. */ : "a" (frame) \ + /* No pushal on amd64 so warn gcc about the clobbered registers. */ \ + : "rbx", "rcx", "rdx", "rdi", "rsi", "cc", "memory" \ + ) + +#ifdef __i386__ +#define BACKDOOR_OP(op, frame) BACKDOOR_OP_I386(op, frame) +#else +#define BACKDOOR_OP(op, frame) BACKDOOR_OP_AMD64(op, frame) +#endif + +static void +vm_cmd(struct vm_backdoor *frame) +{ + + BACKDOOR_OP("inl %%dx, %%eax;", frame); +} + +static void +vm_ins(struct vm_backdoor *frame) +{ + + BACKDOOR_OP("cld;\n\trep insb;", frame); +} + +static void +vm_outs(struct vm_backdoor *frame) +{ + + BACKDOOR_OP("cld;\n\trep outsb;", frame); +} + +static int +vm_rpc_open(struct vm_rpc *rpc, uint32_t proto) +{ + struct vm_backdoor frame; + + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ebx.word = proto | VM_RPC_FLAG_COOKIE; + frame.ecx.part.low = VM_CMD_RPC; + frame.ecx.part.high = VM_RPC_OPEN; + frame.edx.part.low = VM_PORT_CMD; + frame.edx.part.high = 0; + + vm_cmd(&frame); + + if (frame.ecx.part.high != 1 || frame.edx.part.low != 0) { + /* open-vm-tools retries without VM_RPC_FLAG_COOKIE here.. */ + printf("vmt: open failed, eax=%08x, ecx=%08x, edx=%08x\n", + frame.eax.word, frame.ecx.word, frame.edx.word); + return (EIO); + } + + rpc->channel = frame.edx.part.high; + rpc->cookie1 = frame.esi.word; + rpc->cookie2 = frame.edi.word; + + return (0); +} + +static int +vm_rpc_close(struct vm_rpc *rpc) +{ + struct vm_backdoor frame; + + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ebx.word = 0; + frame.ecx.part.low = VM_CMD_RPC; + frame.ecx.part.high = VM_RPC_CLOSE; + frame.edx.part.low = VM_PORT_CMD; + frame.edx.part.high = rpc->channel; + frame.edi.word = rpc->cookie2; + frame.esi.word = rpc->cookie1; + + vm_cmd(&frame); + + if (frame.ecx.part.high == 0 || frame.ecx.part.low != 0) { + printf("vmt: close failed, eax=%08x, ecx=%08x\n", + frame.eax.word, frame.ecx.word); + return (EIO); + } + + rpc->channel = 0; + rpc->cookie1 = 0; + rpc->cookie2 = 0; + + return (0); +} + +static int +vm_rpc_send(const struct vm_rpc *rpc, const uint8_t *buf, uint32_t length) +{ + struct vm_backdoor frame; + + /* Send the length of the command. */ + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ebx.word = length; + frame.ecx.part.low = VM_CMD_RPC; + frame.ecx.part.high = VM_RPC_SET_LENGTH; + frame.edx.part.low = VM_PORT_CMD; + frame.edx.part.high = rpc->channel; + frame.esi.word = rpc->cookie1; + frame.edi.word = rpc->cookie2; + + vm_cmd(&frame); + + if ((frame.ecx.part.high & VM_RPC_REPLY_SUCCESS) == 0) { + printf("vmt: sending length failed, eax=%08x, ecx=%08x\n", + frame.eax.word, frame.ecx.word); + return (EIO); + } + + /* Only need to poke once if command is null. */ + if (length == 0) + return (0); + + /* Send the command using enhanced RPC. */ + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ebx.word = VM_RPC_ENH_DATA; + frame.ecx.word = length; + frame.edx.part.low = VM_PORT_RPC; + frame.edx.part.high = rpc->channel; + frame.ebp.word = rpc->cookie1; + frame.edi.word = rpc->cookie2; +#ifdef __amd64__ + frame.esi.quad = (uint64_t)buf; +#else + frame.esi.word = (uint32_t)buf; +#endif + + vm_outs(&frame); + + if (frame.ebx.word != VM_RPC_ENH_DATA) { + /* open-vm-tools retries on VM_RPC_REPLY_CHECKPOINT */ + printf("vmt: send failed, ebx=%08x\n", frame.ebx.word); + return (EIO); + } + + return (0); +} + +static int +vm_rpc_send_str(const struct vm_rpc *rpc, const uint8_t *str) +{ + + return (vm_rpc_send(rpc, str, strlen(str))); +} + +static int +vm_rpc_get_data(const struct vm_rpc *rpc, char *data, uint32_t length, + uint16_t dataid) +{ + struct vm_backdoor frame; + + /* Get data using enhanced RPC. */ + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ebx.word = VM_RPC_ENH_DATA; + frame.ecx.word = length; + frame.edx.part.low = VM_PORT_RPC; + frame.edx.part.high = rpc->channel; + frame.esi.word = rpc->cookie1; +#ifdef __amd64__ + frame.edi.quad = (uint64_t)data; +#else + frame.edi.word = (uint32_t)data; +#endif + frame.ebp.word = rpc->cookie2; + + vm_ins(&frame); + + /* NUL-terminate the data. */ + data[length] = '\0'; + + if (frame.ebx.word != VM_RPC_ENH_DATA) { + printf("vmt: get data failed, ebx=%08x\n", frame.ebx.word); + return (EIO); + } + + /* Acknowledge data received. */ + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ebx.word = dataid; + frame.ecx.part.low = VM_CMD_RPC; + frame.ecx.part.high = VM_RPC_GET_END; + frame.edx.part.low = VM_PORT_CMD; + frame.edx.part.high = rpc->channel; + frame.esi.word = rpc->cookie1; + frame.edi.word = rpc->cookie2; + + vm_cmd(&frame); + + if (frame.ecx.part.high == 0) { + printf("vmt: ack data failed, eax=%08x, ecx=%08x\n", + frame.eax.word, frame.ecx.word); + return (EIO); + } + + return (0); +} + +static int +vm_rpc_get_length(const struct vm_rpc *rpc, uint32_t *length, uint16_t *dataid) +{ + struct vm_backdoor frame; + + bzero(&frame, sizeof(frame)); + frame.eax.word = VM_MAGIC; + frame.ebx.word = 0; + frame.ecx.part.low = VM_CMD_RPC; + frame.ecx.part.high = VM_RPC_GET_LENGTH; + frame.edx.part.low = VM_PORT_CMD; + frame.edx.part.high = rpc->channel; + frame.esi.word = rpc->cookie1; + frame.edi.word = rpc->cookie2; + + vm_cmd(&frame); + + if ((frame.ecx.part.high & VM_RPC_REPLY_SUCCESS) == 0) { + printf("vmt: get length failed, eax=%08x, ecx=%08x\n", + frame.eax.word, frame.ecx.word); + return (EIO); + } + + if ((frame.ecx.part.high & VM_RPC_REPLY_DORECV) == 0) { + *length = 0; + *dataid = 0; + } else { + *length = frame.ebx.word; + *dataid = frame.edx.part.high; + } + + return (0); +} + +static int +vm_rpci_response_successful(struct vmt_softc *sc) +{ + + return (sc->sc_rpc_buf[0] == '1' && sc->sc_rpc_buf[1] == ' '); +} + +static int +vm_rpc_send_rpci_tx_buf(struct vmt_softc *sc, const uint8_t *buf, + uint32_t length) +{ + device_t dev; + struct vm_rpc rpci; + uint32_t rlen; + uint16_t ack; + int error; + + dev = sc->sc_dev; + error = 0; + + if (vm_rpc_open(&rpci, VM_RPC_OPEN_RPCI) != 0) { + device_printf(dev, "rpci channel open failed\n"); + return (EIO); + } + + if (vm_rpc_send(&rpci, sc->sc_rpc_buf, length) != 0) { + device_printf(dev, "unable to send rpci command\n"); + error = EIO; + goto out; + } + + if (vm_rpc_get_length(&rpci, &rlen, &ack) != 0) { + device_printf(dev, + "failed to get length of rpci response data\n"); + error = EIO; + goto out; + } + + if (rlen > 0) { + if (rlen >= VMT_RPC_BUFLEN) + rlen = VMT_RPC_BUFLEN - 1; + + if (vm_rpc_get_data(&rpci, sc->sc_rpc_buf, rlen, ack) != 0) { + device_printf(dev, + "failed to get rpci response data\n"); + error = EIO; + goto out; + } + } + +out: + if (vm_rpc_close(&rpci) != 0) + device_printf(dev, "unable to close rpci channel\n"); + + return (error); +} + +static int +vm_rpc_send_rpci_tx(struct vmt_softc *sc, const char *fmt, ...) +{ + va_list args; + int len, error; + + va_start(args, fmt); + len = vsnprintf(sc->sc_rpc_buf, VMT_RPC_BUFLEN, fmt, args); + va_end(args); + + if (len >= VMT_RPC_BUFLEN) { + device_printf(sc->sc_dev, + "rpci command didn't fit in buffer\n"); + error = EIO; + } else + error = vm_rpc_send_rpci_tx_buf(sc, sc->sc_rpc_buf, len); + + return (error); +} + +#if 0 + struct vm_backdoor frame; + + bzero(&frame, sizeof(frame)); + + frame.eax.word = VM_MAGIC; + frame.ecx.part.low = VM_CMD_GET_VERSION; + frame.edx.part.low = VM_PORT_CMD; + + printf("\n"); + printf("eax 0x%08x\n", frame.eax.word); + printf("ebx 0x%08x\n", frame.ebx.word); + printf("ecx 0x%08x\n", frame.ecx.word); + printf("edx 0x%08x\n", frame.edx.word); + printf("ebp 0x%08x\n", frame.ebp.word); + printf("edi 0x%08x\n", frame.edi.word); + printf("esi 0x%08x\n", frame.esi.word); + + vm_cmd(&frame); + + printf("-\n"); + printf("eax 0x%08x\n", frame.eax.word); + printf("ebx 0x%08x\n", frame.ebx.word); *** DIFF OUTPUT TRUNCATED AT 1000 LINES *** From owner-svn-src-projects@FreeBSD.ORG Sun Aug 4 22:38:51 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id DE813F19; Sun, 4 Aug 2013 22:38:51 +0000 (UTC) (envelope-from bryanv@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id B17952C66; Sun, 4 Aug 2013 22:38:51 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r74McpkY023247; Sun, 4 Aug 2013 22:38:51 GMT (envelope-from bryanv@svn.freebsd.org) Received: (from bryanv@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r74Mcp0R023244; Sun, 4 Aug 2013 22:38:51 GMT (envelope-from bryanv@svn.freebsd.org) Message-Id: <201308042238.r74Mcp0R023244@svn.freebsd.org> From: Bryan Venteicher Date: Sun, 4 Aug 2013 22:38:51 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253946 - in projects/vmxnet: share/man/man4 sys/conf X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 04 Aug 2013 22:38:51 -0000 Author: bryanv Date: Sun Aug 4 22:38:50 2013 New Revision: 253946 URL: http://svnweb.freebsd.org/changeset/base/253946 Log: Add vmx(4) man page adapted from OpenBSD Add vmx entries in files.{i386,amd64} Modified: projects/vmxnet/share/man/man4/Makefile projects/vmxnet/sys/conf/files.amd64 projects/vmxnet/sys/conf/files.i386 Modified: projects/vmxnet/share/man/man4/Makefile ============================================================================== --- projects/vmxnet/share/man/man4/Makefile Sun Aug 4 22:14:02 2013 (r253945) +++ projects/vmxnet/share/man/man4/Makefile Sun Aug 4 22:38:50 2013 (r253946) @@ -536,6 +536,7 @@ MAN= aac.4 \ ${_virtio_scsi.4} \ vkbd.4 \ vlan.4 \ + ${_vmx.4} \ vpo.4 \ vr.4 \ vte.4 \ @@ -703,6 +704,7 @@ MLINKS+=ural.4 if_ural.4 MLINKS+=${_urtw.4} ${_if_urtw.4} MLINKS+=vge.4 if_vge.4 MLINKS+=vlan.4 if_vlan.4 +MLINKS+=${_vmx.4} ${_if_vmx.4} MLINKS+=vpo.4 imm.4 MLINKS+=vr.4 if_vr.4 MLINKS+=vte.4 if_vte.4 @@ -754,6 +756,7 @@ _if_nfe.4= if_nfe.4 _if_nve.4= if_nve.4 _if_nxge.4= if_nxge.4 _if_urtw.4= if_urtw.4 +_if_vmx.4= if_vmx.4 _if_vtnet.4= if_vtnet.4 _if_vxge.4= if_vxge.4 _if_wpi.4= if_wpi.4 @@ -773,6 +776,7 @@ _virtio.4= virtio.4 _virtio_balloon.4=virtio_balloon.4 _virtio_blk.4= virtio_blk.4 _virtio_scsi.4= virtio_scsi.4 +_vmx.4= vmx.4 _vtnet.4= vtnet.4 _vxge.4= vxge.4 _padlock.4= padlock.4 Modified: projects/vmxnet/sys/conf/files.amd64 ============================================================================== --- projects/vmxnet/sys/conf/files.amd64 Sun Aug 4 22:14:02 2013 (r253945) +++ projects/vmxnet/sys/conf/files.amd64 Sun Aug 4 22:38:50 2013 (r253946) @@ -294,6 +294,7 @@ dev/tpm/tpm_isa.c optional tpm isa dev/uart/uart_cpu_x86.c optional uart dev/viawd/viawd.c optional viawd dev/vmware/vmxnet/if_vic.c optional vic pci +dev/vmware/vmxnet3/if_vmx.c optional vmx pci dev/wbwd/wbwd.c optional wbwd dev/wpi/if_wpi.c optional wpi dev/isci/isci.c optional isci Modified: projects/vmxnet/sys/conf/files.i386 ============================================================================== --- projects/vmxnet/sys/conf/files.i386 Sun Aug 4 22:14:02 2013 (r253945) +++ projects/vmxnet/sys/conf/files.i386 Sun Aug 4 22:38:50 2013 (r253946) @@ -258,6 +258,7 @@ dev/tpm/tpm_isa.c optional tpm isa dev/uart/uart_cpu_x86.c optional uart dev/viawd/viawd.c optional viawd dev/vmware/vmxnet/if_vic.c optional vic pci +dev/vmware/vmxnet3/if_vmx.c optional vmx pci dev/acpica/acpi_if.m standard dev/acpi_support/acpi_wmi_if.m standard dev/wbwd/wbwd.c optional wbwd From owner-svn-src-projects@FreeBSD.ORG Sun Aug 4 23:22:20 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id A886F7AA; Sun, 4 Aug 2013 23:22:20 +0000 (UTC) (envelope-from bryanv@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 90F222E1D; Sun, 4 Aug 2013 23:22:20 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r74NMKAq037350; Sun, 4 Aug 2013 23:22:20 GMT (envelope-from bryanv@svn.freebsd.org) Received: (from bryanv@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r74NMD5X037311; Sun, 4 Aug 2013 23:22:13 GMT (envelope-from bryanv@svn.freebsd.org) Message-Id: <201308042322.r74NMD5X037311@svn.freebsd.org> From: Bryan Venteicher Date: Sun, 4 Aug 2013 23:22:13 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253947 - in projects/vmxnet: . bin/sh cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs cddl/contrib/opensolaris/cmd/dtrace/... X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 04 Aug 2013 23:22:20 -0000 Author: bryanv Date: Sun Aug 4 23:22:10 2013 New Revision: 253947 URL: http://svnweb.freebsd.org/changeset/base/253947 Log: MFC Added: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_AGG.bad.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_AGG.bad.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.dyn.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.dyn.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_AGG.bad.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_AGG.bad.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/tst.dyn.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/tst.dyn.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh.out - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/types/tst.const.d - copied unchanged from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/types/tst.const.d projects/vmxnet/contrib/apr-util/crypto/apr_passwd.c - copied unchanged from r253946, head/contrib/apr-util/crypto/apr_passwd.c projects/vmxnet/contrib/apr-util/crypto/crypt_blowfish.c - copied unchanged from r253946, head/contrib/apr-util/crypto/crypt_blowfish.c projects/vmxnet/contrib/apr-util/crypto/crypt_blowfish.h - copied unchanged from r253946, head/contrib/apr-util/crypto/crypt_blowfish.h projects/vmxnet/contrib/serf/SConstruct - copied unchanged from r253946, head/contrib/serf/SConstruct projects/vmxnet/contrib/serf/auth/auth_spnego.c - copied unchanged from r253946, head/contrib/serf/auth/auth_spnego.c projects/vmxnet/contrib/serf/auth/auth_spnego.h - copied unchanged from r253946, head/contrib/serf/auth/auth_spnego.h projects/vmxnet/contrib/serf/auth/auth_spnego_gss.c - copied unchanged from r253946, head/contrib/serf/auth/auth_spnego_gss.c projects/vmxnet/contrib/serf/auth/auth_spnego_sspi.c - copied unchanged from r253946, head/contrib/serf/auth/auth_spnego_sspi.c projects/vmxnet/contrib/serf/build/check.py - copied unchanged from r253946, head/contrib/serf/build/check.py projects/vmxnet/contrib/serf/build/serf.pc.in - copied unchanged from r253946, head/contrib/serf/build/serf.pc.in projects/vmxnet/contrib/wpa/hostapd/hlr_auc_gw.txt - copied unchanged from r253946, head/contrib/wpa/hostapd/hlr_auc_gw.txt projects/vmxnet/contrib/wpa/hostapd/hostapd.eap_user_sqlite - copied unchanged from r253946, head/contrib/wpa/hostapd/hostapd.eap_user_sqlite projects/vmxnet/contrib/wpa/patches/openssl-0.9.8x-tls-extensions.patch - copied unchanged from r253946, head/contrib/wpa/patches/openssl-0.9.8x-tls-extensions.patch projects/vmxnet/contrib/wpa/src/ap/eap_user_db.c - copied unchanged from r253946, head/contrib/wpa/src/ap/eap_user_db.c projects/vmxnet/contrib/wpa/src/ap/gas_serv.c - copied unchanged from r253946, head/contrib/wpa/src/ap/gas_serv.c projects/vmxnet/contrib/wpa/src/ap/gas_serv.h - copied unchanged from r253946, head/contrib/wpa/src/ap/gas_serv.h projects/vmxnet/contrib/wpa/src/ap/hs20.c - copied unchanged from r253946, head/contrib/wpa/src/ap/hs20.c projects/vmxnet/contrib/wpa/src/ap/hs20.h - copied unchanged from r253946, head/contrib/wpa/src/ap/hs20.h projects/vmxnet/contrib/wpa/src/ap/ieee802_11_shared.c - copied unchanged from r253946, head/contrib/wpa/src/ap/ieee802_11_shared.c projects/vmxnet/contrib/wpa/src/ap/ieee802_11_vht.c - copied unchanged from r253946, head/contrib/wpa/src/ap/ieee802_11_vht.c projects/vmxnet/contrib/wpa/src/ap/p2p_hostapd.c - copied unchanged from r253946, head/contrib/wpa/src/ap/p2p_hostapd.c projects/vmxnet/contrib/wpa/src/ap/p2p_hostapd.h - copied unchanged from r253946, head/contrib/wpa/src/ap/p2p_hostapd.h projects/vmxnet/contrib/wpa/src/ap/vlan_util.c - copied unchanged from r253946, head/contrib/wpa/src/ap/vlan_util.c projects/vmxnet/contrib/wpa/src/ap/vlan_util.h - copied unchanged from r253946, head/contrib/wpa/src/ap/vlan_util.h projects/vmxnet/contrib/wpa/src/ap/wnm_ap.c - copied unchanged from r253946, head/contrib/wpa/src/ap/wnm_ap.c projects/vmxnet/contrib/wpa/src/ap/wnm_ap.h - copied unchanged from r253946, head/contrib/wpa/src/ap/wnm_ap.h projects/vmxnet/contrib/wpa/src/common/gas.c - copied unchanged from r253946, head/contrib/wpa/src/common/gas.c projects/vmxnet/contrib/wpa/src/common/gas.h - copied unchanged from r253946, head/contrib/wpa/src/common/gas.h projects/vmxnet/contrib/wpa/src/crypto/aes-ccm.c - copied unchanged from r253946, head/contrib/wpa/src/crypto/aes-ccm.c projects/vmxnet/contrib/wpa/src/crypto/aes-gcm.c - copied unchanged from r253946, head/contrib/wpa/src/crypto/aes-gcm.c projects/vmxnet/contrib/wpa/src/crypto/random.c - copied unchanged from r253946, head/contrib/wpa/src/crypto/random.c projects/vmxnet/contrib/wpa/src/crypto/random.h - copied unchanged from r253946, head/contrib/wpa/src/crypto/random.h projects/vmxnet/contrib/wpa/src/crypto/sha1-prf.c - copied unchanged from r253946, head/contrib/wpa/src/crypto/sha1-prf.c projects/vmxnet/contrib/wpa/src/crypto/sha256-prf.c - copied unchanged from r253946, head/contrib/wpa/src/crypto/sha256-prf.c projects/vmxnet/contrib/wpa/src/crypto/sha256-tlsprf.c - copied unchanged from r253946, head/contrib/wpa/src/crypto/sha256-tlsprf.c projects/vmxnet/contrib/wpa/src/crypto/sha256_i.h - copied unchanged from r253946, head/contrib/wpa/src/crypto/sha256_i.h projects/vmxnet/contrib/wpa/src/drivers/driver_bsd.c - copied unchanged from r253946, head/contrib/wpa/src/drivers/driver_bsd.c projects/vmxnet/contrib/wpa/src/drivers/driver_common.c - copied unchanged from r253946, head/contrib/wpa/src/drivers/driver_common.c projects/vmxnet/contrib/wpa/src/drivers/driver_privsep.c - copied unchanged from r253946, head/contrib/wpa/src/drivers/driver_privsep.c projects/vmxnet/contrib/wpa/src/eap_common/eap_pwd_common.c - copied unchanged from r253946, head/contrib/wpa/src/eap_common/eap_pwd_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_pwd_common.h - copied unchanged from r253946, head/contrib/wpa/src/eap_common/eap_pwd_common.h projects/vmxnet/contrib/wpa/src/eap_peer/eap_pwd.c - copied unchanged from r253946, head/contrib/wpa/src/eap_peer/eap_pwd.c projects/vmxnet/contrib/wpa/src/eap_server/eap_server_pwd.c - copied unchanged from r253946, head/contrib/wpa/src/eap_server/eap_server_pwd.c projects/vmxnet/contrib/wpa/src/l2_packet/l2_packet_privsep.c - copied unchanged from r253946, head/contrib/wpa/src/l2_packet/l2_packet_privsep.c projects/vmxnet/contrib/wpa/src/p2p/ - copied from r253946, head/contrib/wpa/src/p2p/ projects/vmxnet/contrib/wpa/src/radius/radius_das.c - copied unchanged from r253946, head/contrib/wpa/src/radius/radius_das.c projects/vmxnet/contrib/wpa/src/radius/radius_das.h - copied unchanged from r253946, head/contrib/wpa/src/radius/radius_das.h projects/vmxnet/contrib/wpa/src/rsn_supp/tdls.c - copied unchanged from r253946, head/contrib/wpa/src/rsn_supp/tdls.c projects/vmxnet/contrib/wpa/src/utils/edit.c - copied unchanged from r253946, head/contrib/wpa/src/utils/edit.c projects/vmxnet/contrib/wpa/src/utils/edit.h - copied unchanged from r253946, head/contrib/wpa/src/utils/edit.h projects/vmxnet/contrib/wpa/src/utils/edit_readline.c - copied unchanged from r253946, head/contrib/wpa/src/utils/edit_readline.c projects/vmxnet/contrib/wpa/src/utils/edit_simple.c - copied unchanged from r253946, head/contrib/wpa/src/utils/edit_simple.c projects/vmxnet/contrib/wpa/src/utils/ext_password.c - copied unchanged from r253946, head/contrib/wpa/src/utils/ext_password.c projects/vmxnet/contrib/wpa/src/utils/ext_password.h - copied unchanged from r253946, head/contrib/wpa/src/utils/ext_password.h projects/vmxnet/contrib/wpa/src/utils/ext_password_i.h - copied unchanged from r253946, head/contrib/wpa/src/utils/ext_password_i.h projects/vmxnet/contrib/wpa/src/utils/ext_password_test.c - copied unchanged from r253946, head/contrib/wpa/src/utils/ext_password_test.c projects/vmxnet/contrib/wpa/src/wps/wps_attr_parse.h - copied unchanged from r253946, head/contrib/wpa/src/wps/wps_attr_parse.h projects/vmxnet/contrib/wpa/src/wps/wps_validate.c - copied unchanged from r253946, head/contrib/wpa/src/wps/wps_validate.c projects/vmxnet/contrib/wpa/wpa_supplicant/README-HS20 - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/README-HS20 projects/vmxnet/contrib/wpa/wpa_supplicant/README-P2P - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/README-P2P projects/vmxnet/contrib/wpa/wpa_supplicant/autoscan.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/autoscan.c projects/vmxnet/contrib/wpa/wpa_supplicant/autoscan.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/autoscan.h projects/vmxnet/contrib/wpa/wpa_supplicant/autoscan_exponential.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/autoscan_exponential.c projects/vmxnet/contrib/wpa/wpa_supplicant/autoscan_periodic.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/autoscan_periodic.c projects/vmxnet/contrib/wpa/wpa_supplicant/bgscan_learn.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/bgscan_learn.c projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.c projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.h projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service.in - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service.in projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service.in - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service.in projects/vmxnet/contrib/wpa/wpa_supplicant/examples/dbus-listen-preq.py - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/examples/dbus-listen-preq.py projects/vmxnet/contrib/wpa/wpa_supplicant/examples/p2p/ - copied from r253946, head/contrib/wpa/wpa_supplicant/examples/p2p/ projects/vmxnet/contrib/wpa/wpa_supplicant/examples/p2p-action-udhcp.sh - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/examples/p2p-action-udhcp.sh projects/vmxnet/contrib/wpa/wpa_supplicant/examples/p2p-action.sh - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/examples/p2p-action.sh projects/vmxnet/contrib/wpa/wpa_supplicant/examples/udhcpd-p2p.conf - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/examples/udhcpd-p2p.conf projects/vmxnet/contrib/wpa/wpa_supplicant/examples/wps-ap-cli - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/examples/wps-ap-cli projects/vmxnet/contrib/wpa/wpa_supplicant/examples/wps-nfc.py - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/examples/wps-nfc.py projects/vmxnet/contrib/wpa/wpa_supplicant/gas_query.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/gas_query.c projects/vmxnet/contrib/wpa/wpa_supplicant/gas_query.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/gas_query.h projects/vmxnet/contrib/wpa/wpa_supplicant/hs20_supplicant.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/hs20_supplicant.c projects/vmxnet/contrib/wpa/wpa_supplicant/hs20_supplicant.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/hs20_supplicant.h projects/vmxnet/contrib/wpa/wpa_supplicant/interworking.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/interworking.c projects/vmxnet/contrib/wpa/wpa_supplicant/interworking.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/interworking.h projects/vmxnet/contrib/wpa/wpa_supplicant/nfc_pw_token.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/nfc_pw_token.c projects/vmxnet/contrib/wpa/wpa_supplicant/offchannel.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/offchannel.c projects/vmxnet/contrib/wpa/wpa_supplicant/offchannel.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/offchannel.h projects/vmxnet/contrib/wpa/wpa_supplicant/p2p_supplicant.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/p2p_supplicant.c projects/vmxnet/contrib/wpa/wpa_supplicant/p2p_supplicant.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/p2p_supplicant.h projects/vmxnet/contrib/wpa/wpa_supplicant/utils/ - copied from r253946, head/contrib/wpa/wpa_supplicant/utils/ projects/vmxnet/contrib/wpa/wpa_supplicant/wifi_display.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/wifi_display.c projects/vmxnet/contrib/wpa/wpa_supplicant/wifi_display.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/wifi_display.h projects/vmxnet/contrib/wpa/wpa_supplicant/wnm_sta.c - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/wnm_sta.c projects/vmxnet/contrib/wpa/wpa_supplicant/wnm_sta.h - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/wnm_sta.h projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.mk - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.mk projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.sh - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.sh projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_supplicant_template.conf - copied unchanged from r253946, head/contrib/wpa/wpa_supplicant/wpa_supplicant_template.conf projects/vmxnet/etc/libmap.conf - copied unchanged from r253946, head/etc/libmap.conf projects/vmxnet/lib/libc++/libc++.ldscript - copied unchanged from r253946, head/lib/libc++/libc++.ldscript projects/vmxnet/lib/libusb/libusb-0.1.pc - copied unchanged from r253946, head/lib/libusb/libusb-0.1.pc projects/vmxnet/lib/libusb/libusb-1.0.pc - copied unchanged from r253946, head/lib/libusb/libusb-1.0.pc projects/vmxnet/lib/libusb/libusb-2.0.pc - copied unchanged from r253946, head/lib/libusb/libusb-2.0.pc projects/vmxnet/sbin/mount/mount.conf.8 - copied unchanged from r253946, head/sbin/mount/mount.conf.8 projects/vmxnet/share/doc/legal/realtek/ - copied from r253946, head/share/doc/legal/realtek/ projects/vmxnet/share/man/man4/hptnr.4 - copied unchanged from r253946, head/share/man/man4/hptnr.4 projects/vmxnet/share/man/man4/rsu.4 - copied unchanged from r253946, head/share/man/man4/rsu.4 projects/vmxnet/share/man/man4/rsufw.4 - copied unchanged from r253946, head/share/man/man4/rsufw.4 projects/vmxnet/share/man/man9/SDT.9 - copied unchanged from r253946, head/share/man/man9/SDT.9 projects/vmxnet/share/man/man9/vmem.9 - copied unchanged from r253946, head/share/man/man9/vmem.9 projects/vmxnet/share/monetdef/ca_ES.UTF-8.src - copied unchanged from r253946, head/share/monetdef/ca_ES.UTF-8.src projects/vmxnet/share/monetdef/de_AT.UTF-8.src - copied unchanged from r253946, head/share/monetdef/de_AT.UTF-8.src projects/vmxnet/share/monetdef/de_DE.UTF-8.src - copied unchanged from r253946, head/share/monetdef/de_DE.UTF-8.src projects/vmxnet/share/monetdef/el_GR.UTF-8.src - copied unchanged from r253946, head/share/monetdef/el_GR.UTF-8.src projects/vmxnet/share/monetdef/es_ES.UTF-8.src - copied unchanged from r253946, head/share/monetdef/es_ES.UTF-8.src projects/vmxnet/share/monetdef/fi_FI.UTF-8.src - copied unchanged from r253946, head/share/monetdef/fi_FI.UTF-8.src projects/vmxnet/share/monetdef/fr_BE.UTF-8.src - copied unchanged from r253946, head/share/monetdef/fr_BE.UTF-8.src projects/vmxnet/share/monetdef/fr_FR.UTF-8.src - copied unchanged from r253946, head/share/monetdef/fr_FR.UTF-8.src projects/vmxnet/share/monetdef/it_IT.UTF-8.src - copied unchanged from r253946, head/share/monetdef/it_IT.UTF-8.src projects/vmxnet/share/monetdef/nl_BE.UTF-8.src - copied unchanged from r253946, head/share/monetdef/nl_BE.UTF-8.src projects/vmxnet/share/monetdef/nl_NL.UTF-8.src - copied unchanged from r253946, head/share/monetdef/nl_NL.UTF-8.src projects/vmxnet/sys/arm/broadcom/bcm2835/std.bcm2835 - copied unchanged from r253946, head/sys/arm/broadcom/bcm2835/std.bcm2835 projects/vmxnet/sys/arm/broadcom/bcm2835/std.rpi - copied unchanged from r253946, head/sys/arm/broadcom/bcm2835/std.rpi projects/vmxnet/sys/arm/freescale/imx/files.imx51 - copied unchanged from r253946, head/sys/arm/freescale/imx/files.imx51 projects/vmxnet/sys/arm/freescale/imx/std.imx51 - copied unchanged from r253946, head/sys/arm/freescale/imx/std.imx51 projects/vmxnet/sys/arm/ti/am335x/am335x_usbss.c - copied unchanged from r253946, head/sys/arm/ti/am335x/am335x_usbss.c projects/vmxnet/sys/contrib/dev/rsu/ - copied from r253946, head/sys/contrib/dev/rsu/ projects/vmxnet/sys/contrib/dev/urtwn/ - copied from r253946, head/sys/contrib/dev/urtwn/ projects/vmxnet/sys/contrib/v4l/ - copied from r253946, head/sys/contrib/v4l/ projects/vmxnet/sys/crypto/siphash/ - copied from r253946, head/sys/crypto/siphash/ projects/vmxnet/sys/dev/cxgbe/t4_tracer.c - copied unchanged from r253946, head/sys/dev/cxgbe/t4_tracer.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_vlans.c - copied unchanged from r253946, head/sys/dev/etherswitch/arswitch/arswitch_vlans.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_vlans.h - copied unchanged from r253946, head/sys/dev/etherswitch/arswitch/arswitch_vlans.h projects/vmxnet/sys/dev/hpt27xx/hpt27xx_os_bsd.c - copied unchanged from r253946, head/sys/dev/hpt27xx/hpt27xx_os_bsd.c projects/vmxnet/sys/dev/hpt27xx/hpt27xx_osm_bsd.c - copied unchanged from r253946, head/sys/dev/hpt27xx/hpt27xx_osm_bsd.c projects/vmxnet/sys/dev/hptnr/ - copied from r253946, head/sys/dev/hptnr/ projects/vmxnet/sys/dev/iwn/if_iwn_devid.h - copied unchanged from r253946, head/sys/dev/iwn/if_iwn_devid.h projects/vmxnet/sys/dev/mbox/ - copied from r253946, head/sys/dev/mbox/ projects/vmxnet/sys/dev/nvme/nvme_util.c - copied unchanged from r253946, head/sys/dev/nvme/nvme_util.c projects/vmxnet/sys/dev/usb/gadget/ - copied from r253946, head/sys/dev/usb/gadget/ projects/vmxnet/sys/dev/usb/wlan/if_rsu.c - copied unchanged from r253946, head/sys/dev/usb/wlan/if_rsu.c projects/vmxnet/sys/dev/usb/wlan/if_rsureg.h - copied unchanged from r253946, head/sys/dev/usb/wlan/if_rsureg.h projects/vmxnet/sys/dev/wi/if_wi_macio.c - copied unchanged from r253946, head/sys/dev/wi/if_wi_macio.c projects/vmxnet/sys/fs/ext2fs/ext2_hash.c - copied unchanged from r253946, head/sys/fs/ext2fs/ext2_hash.c projects/vmxnet/sys/fs/ext2fs/ext2_htree.c - copied unchanged from r253946, head/sys/fs/ext2fs/ext2_htree.c projects/vmxnet/sys/fs/ext2fs/htree.h - copied unchanged from r253946, head/sys/fs/ext2fs/htree.h projects/vmxnet/sys/libkern/flsll.c - copied unchanged from r253946, head/sys/libkern/flsll.c projects/vmxnet/sys/mips/atheros/ar934x_chip.c - copied unchanged from r253946, head/sys/mips/atheros/ar934x_chip.c projects/vmxnet/sys/mips/atheros/ar934x_chip.h - copied unchanged from r253946, head/sys/mips/atheros/ar934x_chip.h projects/vmxnet/sys/mips/atheros/ar934xreg.h - copied unchanged from r253946, head/sys/mips/atheros/ar934xreg.h projects/vmxnet/sys/mips/conf/AR934X_BASE - copied unchanged from r253946, head/sys/mips/conf/AR934X_BASE projects/vmxnet/sys/mips/conf/AR934X_BASE.hints - copied unchanged from r253946, head/sys/mips/conf/AR934X_BASE.hints projects/vmxnet/sys/mips/conf/DB120 - copied unchanged from r253946, head/sys/mips/conf/DB120 projects/vmxnet/sys/mips/conf/DB120.hints - copied unchanged from r253946, head/sys/mips/conf/DB120.hints projects/vmxnet/sys/modules/hptnr/ - copied from r253946, head/sys/modules/hptnr/ projects/vmxnet/sys/modules/usb/g_audio/ - copied from r253946, head/sys/modules/usb/g_audio/ projects/vmxnet/sys/modules/usb/g_keyboard/ - copied from r253946, head/sys/modules/usb/g_keyboard/ projects/vmxnet/sys/modules/usb/g_modem/ - copied from r253946, head/sys/modules/usb/g_modem/ projects/vmxnet/sys/modules/usb/g_mouse/ - copied from r253946, head/sys/modules/usb/g_mouse/ projects/vmxnet/sys/modules/usb/rsu/ - copied from r253946, head/sys/modules/usb/rsu/ projects/vmxnet/sys/modules/usb/rsufw/ - copied from r253946, head/sys/modules/usb/rsufw/ projects/vmxnet/sys/modules/usb/runfw/ - copied from r253946, head/sys/modules/usb/runfw/ projects/vmxnet/sys/modules/usb/urtwnfw/ - copied from r253946, head/sys/modules/usb/urtwnfw/ projects/vmxnet/tools/build/options/WITHOUT_ARM_EABI - copied unchanged from r253946, head/tools/build/options/WITHOUT_ARM_EABI projects/vmxnet/tools/build/options/WITH_GNU_PATCH - copied unchanged from r253946, head/tools/build/options/WITH_GNU_PATCH projects/vmxnet/tools/build/options/WITH_PKGTOOLS - copied unchanged from r253946, head/tools/build/options/WITH_PKGTOOLS projects/vmxnet/tools/regression/bin/sh/execution/int-cmd1.0 - copied unchanged from r253946, head/tools/regression/bin/sh/execution/int-cmd1.0 projects/vmxnet/usr.sbin/bhyve/rtc.h - copied unchanged from r253946, head/usr.sbin/bhyve/rtc.h projects/vmxnet/usr.sbin/bhyve/virtio.c - copied unchanged from r253946, head/usr.sbin/bhyve/virtio.c projects/vmxnet/usr.sbin/bsdconfig/examples/browse_packages.sh - copied unchanged from r253946, head/usr.sbin/bsdconfig/examples/browse_packages.sh projects/vmxnet/usr.sbin/bsdconfig/networking/share/services.subr - copied unchanged from r253946, head/usr.sbin/bsdconfig/networking/share/services.subr projects/vmxnet/usr.sbin/wpa/wpa_priv/ - copied from r253946, head/usr.sbin/wpa/wpa_priv/ Deleted: projects/vmxnet/bin/sh/init.h projects/vmxnet/bin/sh/mkinit.c projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.complex.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_DYN.bad.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/man.TestTransStability.d projects/vmxnet/contrib/apr-util/aprutil.dsp projects/vmxnet/contrib/apr-util/crypto/apr_crypto_nss.dsp projects/vmxnet/contrib/apr-util/crypto/apr_crypto_openssl.dsp projects/vmxnet/contrib/apr-util/dbd/apr_dbd_freetds.dsp projects/vmxnet/contrib/apr-util/dbd/apr_dbd_mysql.dsp projects/vmxnet/contrib/apr-util/dbd/apr_dbd_odbc.dsp projects/vmxnet/contrib/apr-util/dbd/apr_dbd_oracle.dsp projects/vmxnet/contrib/apr-util/dbd/apr_dbd_pgsql.dsp projects/vmxnet/contrib/apr-util/dbd/apr_dbd_sqlite2.dsp projects/vmxnet/contrib/apr-util/dbd/apr_dbd_sqlite3.dsp projects/vmxnet/contrib/apr-util/dbm/apr_dbm_db.dsp projects/vmxnet/contrib/apr-util/dbm/apr_dbm_gdbm.dsp projects/vmxnet/contrib/apr-util/ldap/apr_ldap.dsp projects/vmxnet/contrib/apr-util/libaprutil.dsp projects/vmxnet/contrib/apr-util/test/testutildll.dsp projects/vmxnet/contrib/apr-util/test/testutillib.dsp projects/vmxnet/contrib/openbsm/m4/lt~obsolete.m4 projects/vmxnet/contrib/serf/Makefile.in projects/vmxnet/contrib/serf/auth/auth_kerb.c projects/vmxnet/contrib/serf/auth/auth_kerb.h projects/vmxnet/contrib/serf/auth/auth_kerb_gss.c projects/vmxnet/contrib/serf/auth/auth_kerb_sspi.c projects/vmxnet/contrib/serf/build/apr_common.m4 projects/vmxnet/contrib/serf/build/config.guess projects/vmxnet/contrib/serf/build/config.sub projects/vmxnet/contrib/serf/build/find_apr.m4 projects/vmxnet/contrib/serf/build/find_apu.m4 projects/vmxnet/contrib/serf/build/get-version.sh projects/vmxnet/contrib/serf/build/install.sh projects/vmxnet/contrib/serf/build/serf.def projects/vmxnet/contrib/serf/buildconf projects/vmxnet/contrib/serf/config.layout projects/vmxnet/contrib/serf/configure projects/vmxnet/contrib/serf/configure.in projects/vmxnet/contrib/serf/serf.mak projects/vmxnet/contrib/serf/serf.pc.in projects/vmxnet/contrib/serf/serfmake projects/vmxnet/contrib/wpa/hostapd/.gitignore projects/vmxnet/contrib/wpa/hostapd/Makefile projects/vmxnet/contrib/wpa/src/Makefile projects/vmxnet/contrib/wpa/src/ap/Makefile projects/vmxnet/contrib/wpa/src/common/Makefile projects/vmxnet/contrib/wpa/src/crypto/.gitignore projects/vmxnet/contrib/wpa/src/crypto/Makefile projects/vmxnet/contrib/wpa/src/crypto/md5-non-fips.c projects/vmxnet/contrib/wpa/src/drivers/.gitignore projects/vmxnet/contrib/wpa/src/drivers/Makefile projects/vmxnet/contrib/wpa/src/drivers/driver_ndiswrapper.c projects/vmxnet/contrib/wpa/src/drivers/drivers.mak projects/vmxnet/contrib/wpa/src/eap_common/Makefile projects/vmxnet/contrib/wpa/src/eap_peer/Makefile projects/vmxnet/contrib/wpa/src/eap_server/Makefile projects/vmxnet/contrib/wpa/src/eapol_auth/Makefile projects/vmxnet/contrib/wpa/src/eapol_supp/Makefile projects/vmxnet/contrib/wpa/src/l2_packet/Makefile projects/vmxnet/contrib/wpa/src/lib.rules projects/vmxnet/contrib/wpa/src/radius/.gitignore projects/vmxnet/contrib/wpa/src/radius/Makefile projects/vmxnet/contrib/wpa/src/rsn_supp/Makefile projects/vmxnet/contrib/wpa/src/tls/.gitignore projects/vmxnet/contrib/wpa/src/tls/Makefile projects/vmxnet/contrib/wpa/src/utils/.gitignore projects/vmxnet/contrib/wpa/src/utils/Makefile projects/vmxnet/contrib/wpa/src/wps/Makefile projects/vmxnet/contrib/wpa/src/wps/wps_nfc.c projects/vmxnet/contrib/wpa/src/wps/wps_nfc_pn531.c projects/vmxnet/contrib/wpa/src/wps/wps_ufd.c projects/vmxnet/contrib/wpa/wpa_supplicant/.gitignore projects/vmxnet/contrib/wpa/wpa_supplicant/Makefile projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/.gitignore projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service projects/vmxnet/contrib/wpa/wpa_supplicant/doc/ projects/vmxnet/contrib/wpa/wpa_supplicant/mlme.c projects/vmxnet/contrib/wpa/wpa_supplicant/mlme.h projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_supplicant.nsi projects/vmxnet/sys/arm/freescale/imx/imx.files projects/vmxnet/sys/arm/freescale/imx/std.imx projects/vmxnet/sys/compat/linux/linux_videodev.h projects/vmxnet/sys/compat/linux/linux_videodev2.h projects/vmxnet/sys/dev/hpt27xx/os_bsd.c projects/vmxnet/sys/dev/hpt27xx/osm_bsd.c projects/vmxnet/sys/modules/runfw/ projects/vmxnet/tools/build/options/WITHOUT_PKGTOOLS projects/vmxnet/tools/build/options/WITH_ARM_EABI projects/vmxnet/tools/build/options/WITH_BSD_PATCH projects/vmxnet/usr.bin/systat/mbufs.c projects/vmxnet/usr.sbin/wpa/hostapd/driver_freebsd.c projects/vmxnet/usr.sbin/wpa/wpa_supplicant/driver_freebsd.c Modified: projects/vmxnet/MAINTAINERS (contents, props changed) projects/vmxnet/Makefile projects/vmxnet/Makefile.inc1 projects/vmxnet/ObsoleteFiles.inc projects/vmxnet/UPDATING projects/vmxnet/bin/sh/Makefile projects/vmxnet/bin/sh/TOUR projects/vmxnet/bin/sh/eval.c projects/vmxnet/bin/sh/eval.h projects/vmxnet/bin/sh/exec.c projects/vmxnet/bin/sh/input.c projects/vmxnet/bin/sh/input.h projects/vmxnet/bin/sh/jobs.c projects/vmxnet/bin/sh/main.c projects/vmxnet/bin/sh/output.c projects/vmxnet/bin/sh/parser.c projects/vmxnet/bin/sh/parser.h projects/vmxnet/bin/sh/redir.c projects/vmxnet/bin/sh/redir.h projects/vmxnet/bin/sh/shell.h projects/vmxnet/bin/sh/trap.c projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionreturnvalue.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.ioctlargs.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.offset.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.offsetzero.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.return0.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.tailcall.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.strjoin.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.BadAlign.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress2.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress3.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress4.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_VOID.bad.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PROTO_LEN.bad.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.ints.d.out projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/safety/tst.copyin.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/speculation/err.BufSizeVariations2.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_PROTO_LEN.bad.d projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_VOID.bad.d projects/vmxnet/cddl/contrib/opensolaris/cmd/zfs/zfs_main.c projects/vmxnet/cddl/contrib/opensolaris/cmd/zpool/zpool_main.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_aggregate.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_cc.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_cg.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_consume.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_decl.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_error.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_errtags.h projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_impl.h projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_parser.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_printf.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/common/dt_subr.c projects/vmxnet/cddl/contrib/opensolaris/lib/libdtrace/i386/dt_isadep.c projects/vmxnet/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_dataset.c projects/vmxnet/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_sendrecv.c projects/vmxnet/cddl/contrib/opensolaris/tools/ctf/cvt/ctf.c projects/vmxnet/cddl/contrib/opensolaris/tools/ctf/cvt/ctftools.h projects/vmxnet/cddl/contrib/opensolaris/tools/ctf/cvt/dwarf.c projects/vmxnet/cddl/contrib/opensolaris/tools/ctf/cvt/st_parse.c projects/vmxnet/contrib/apr-util/CHANGES projects/vmxnet/contrib/apr-util/Makefile.win projects/vmxnet/contrib/apr-util/NWGNUmakefile projects/vmxnet/contrib/apr-util/apr-util.spec projects/vmxnet/contrib/apr-util/buckets/apr_brigade.c projects/vmxnet/contrib/apr-util/buckets/apr_buckets_alloc.c projects/vmxnet/contrib/apr-util/build-outputs.mk projects/vmxnet/contrib/apr-util/build.conf projects/vmxnet/contrib/apr-util/configure projects/vmxnet/contrib/apr-util/configure.in projects/vmxnet/contrib/apr-util/crypto/apr_crypto.c projects/vmxnet/contrib/apr-util/crypto/apr_crypto_nss.c projects/vmxnet/contrib/apr-util/crypto/apr_crypto_openssl.c projects/vmxnet/contrib/apr-util/crypto/apr_md5.c projects/vmxnet/contrib/apr-util/dbd/NWGNUdbdmysql projects/vmxnet/contrib/apr-util/dbd/apr_dbd_freetds.c projects/vmxnet/contrib/apr-util/dbd/apr_dbd_mysql.c projects/vmxnet/contrib/apr-util/dbd/apr_dbd_pgsql.c projects/vmxnet/contrib/apr-util/dbd/apr_dbd_sqlite2.c projects/vmxnet/contrib/apr-util/hooks/apr_hooks.c projects/vmxnet/contrib/apr-util/include/apr_base64.h projects/vmxnet/contrib/apr-util/include/apr_buckets.h projects/vmxnet/contrib/apr-util/include/apr_md5.h projects/vmxnet/contrib/apr-util/include/apr_queue.h projects/vmxnet/contrib/apr-util/include/apu.h.in projects/vmxnet/contrib/apr-util/include/apu_version.h projects/vmxnet/contrib/apr-util/include/private/apr_crypto_internal.h projects/vmxnet/contrib/apr-util/memcache/apr_memcache.c projects/vmxnet/contrib/apr-util/misc/apr_thread_pool.c projects/vmxnet/contrib/apr-util/test/Makefile.in projects/vmxnet/contrib/apr-util/test/Makefile.win projects/vmxnet/contrib/apr-util/test/NWGNUmakefile projects/vmxnet/contrib/apr-util/test/testbuckets.c projects/vmxnet/contrib/apr-util/test/testmd5.c projects/vmxnet/contrib/apr-util/test/testmemcache.c projects/vmxnet/contrib/apr-util/test/testpass.c projects/vmxnet/contrib/apr-util/test/testuri.c projects/vmxnet/contrib/apr-util/test/testutil.c projects/vmxnet/contrib/apr-util/test/testutil.h projects/vmxnet/contrib/apr-util/uri/apr_uri.c projects/vmxnet/contrib/apr/CHANGES projects/vmxnet/contrib/apr/Makefile.win projects/vmxnet/contrib/apr/apr.spec projects/vmxnet/contrib/apr/atomic/unix/ia32.c projects/vmxnet/contrib/apr/atomic/unix/ppc.c projects/vmxnet/contrib/apr/atomic/unix/s390.c projects/vmxnet/contrib/apr/configure projects/vmxnet/contrib/apr/docs/pool-design.html projects/vmxnet/contrib/apr/file_io/unix/seek.c projects/vmxnet/contrib/apr/include/apr.hw projects/vmxnet/contrib/apr/include/apr_allocator.h projects/vmxnet/contrib/apr/include/apr_general.h projects/vmxnet/contrib/apr/include/apr_network_io.h projects/vmxnet/contrib/apr/include/apr_pools.h projects/vmxnet/contrib/apr/include/apr_strings.h projects/vmxnet/contrib/apr/include/apr_thread_proc.h projects/vmxnet/contrib/apr/include/apr_version.h projects/vmxnet/contrib/apr/libapr.rc projects/vmxnet/contrib/apr/network_io/unix/multicast.c projects/vmxnet/contrib/apr/network_io/unix/sendrecv.c projects/vmxnet/contrib/apr/network_io/unix/sockaddr.c projects/vmxnet/contrib/apr/network_io/unix/sockopt.c projects/vmxnet/contrib/apr/random/unix/sha2.c projects/vmxnet/contrib/apr/random/unix/sha2.h projects/vmxnet/contrib/apr/random/unix/sha2_glue.c projects/vmxnet/contrib/apr/tables/apr_tables.c projects/vmxnet/contrib/apr/threadproc/unix/thread.c projects/vmxnet/contrib/bind9/lib/dns/rdata/generic/keydata_65533.c projects/vmxnet/contrib/binutils/binutils/readelf.c projects/vmxnet/contrib/binutils/include/elf/common.h projects/vmxnet/contrib/binutils/ld/ldmain.c projects/vmxnet/contrib/bmake/ChangeLog projects/vmxnet/contrib/bmake/Makefile projects/vmxnet/contrib/bmake/bmake.1 projects/vmxnet/contrib/bmake/bmake.cat1 projects/vmxnet/contrib/bmake/compat.c projects/vmxnet/contrib/bmake/configure projects/vmxnet/contrib/bmake/configure.in projects/vmxnet/contrib/bmake/job.c projects/vmxnet/contrib/bmake/job.h projects/vmxnet/contrib/bmake/main.c projects/vmxnet/contrib/bmake/make.1 projects/vmxnet/contrib/bmake/make.h projects/vmxnet/contrib/bmake/meta.c projects/vmxnet/contrib/bmake/mk/ChangeLog projects/vmxnet/contrib/bmake/mk/init.mk projects/vmxnet/contrib/bmake/mk/install-mk projects/vmxnet/contrib/bmake/mk/lib.mk projects/vmxnet/contrib/bmake/mk/meta2deps.py projects/vmxnet/contrib/bmake/mk/own.mk projects/vmxnet/contrib/bmake/mk/prog.mk projects/vmxnet/contrib/bmake/parse.c projects/vmxnet/contrib/bmake/unit-tests/Makefile.in projects/vmxnet/contrib/bmake/var.c projects/vmxnet/contrib/libc++/include/__bit_reference projects/vmxnet/contrib/libc++/include/__config projects/vmxnet/contrib/libc++/include/__functional_base projects/vmxnet/contrib/libc++/include/__hash_table projects/vmxnet/contrib/libc++/include/__locale projects/vmxnet/contrib/libc++/include/__split_buffer projects/vmxnet/contrib/libc++/include/__std_stream projects/vmxnet/contrib/libc++/include/__tree projects/vmxnet/contrib/libc++/include/algorithm projects/vmxnet/contrib/libc++/include/atomic projects/vmxnet/contrib/libc++/include/deque projects/vmxnet/contrib/libc++/include/forward_list projects/vmxnet/contrib/libc++/include/functional projects/vmxnet/contrib/libc++/include/future projects/vmxnet/contrib/libc++/include/istream projects/vmxnet/contrib/libc++/include/iterator projects/vmxnet/contrib/libc++/include/list projects/vmxnet/contrib/libc++/include/locale projects/vmxnet/contrib/libc++/include/map projects/vmxnet/contrib/libc++/include/memory projects/vmxnet/contrib/libc++/include/random projects/vmxnet/contrib/libc++/include/regex projects/vmxnet/contrib/libc++/include/string projects/vmxnet/contrib/libc++/include/type_traits projects/vmxnet/contrib/libc++/include/unordered_map projects/vmxnet/contrib/libc++/include/utility projects/vmxnet/contrib/libc++/include/vector projects/vmxnet/contrib/libc++/src/debug.cpp projects/vmxnet/contrib/libc++/src/hash.cpp projects/vmxnet/contrib/libc++/src/iostream.cpp projects/vmxnet/contrib/libc++/src/locale.cpp projects/vmxnet/contrib/libc++/src/stdexcept.cpp projects/vmxnet/contrib/libc++/src/string.cpp projects/vmxnet/contrib/libc++/src/thread.cpp projects/vmxnet/contrib/libcxxrt/atomic.h projects/vmxnet/contrib/libcxxrt/auxhelper.cc projects/vmxnet/contrib/libcxxrt/cxxabi.h projects/vmxnet/contrib/libcxxrt/dwarf_eh.h projects/vmxnet/contrib/libcxxrt/exception.cc projects/vmxnet/contrib/libcxxrt/guard.cc projects/vmxnet/contrib/libcxxrt/memory.cc projects/vmxnet/contrib/libstdc++/include/c_std/std_cmath.h projects/vmxnet/contrib/llvm/include/llvm/CodeGen/FunctionLoweringInfo.h projects/vmxnet/contrib/llvm/include/llvm/CodeGen/MachineBasicBlock.h projects/vmxnet/contrib/llvm/lib/CodeGen/MachineBasicBlock.cpp projects/vmxnet/contrib/llvm/lib/CodeGen/SelectionDAG/FastISel.cpp projects/vmxnet/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGBuilder.cpp projects/vmxnet/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGISel.cpp projects/vmxnet/contrib/llvm/tools/clang/lib/Headers/cpuid.h projects/vmxnet/contrib/serf/CHANGES projects/vmxnet/contrib/serf/README projects/vmxnet/contrib/serf/auth/auth.c projects/vmxnet/contrib/serf/auth/auth.h projects/vmxnet/contrib/serf/auth/auth_basic.c projects/vmxnet/contrib/serf/auth/auth_digest.c projects/vmxnet/contrib/serf/buckets/aggregate_buckets.c projects/vmxnet/contrib/serf/buckets/buckets.c projects/vmxnet/contrib/serf/buckets/dechunk_buckets.c projects/vmxnet/contrib/serf/buckets/headers_buckets.c projects/vmxnet/contrib/serf/buckets/limit_buckets.c projects/vmxnet/contrib/serf/buckets/request_buckets.c projects/vmxnet/contrib/serf/buckets/response_buckets.c projects/vmxnet/contrib/serf/buckets/simple_buckets.c projects/vmxnet/contrib/serf/buckets/ssl_buckets.c projects/vmxnet/contrib/serf/build/gen_def.py projects/vmxnet/contrib/serf/context.c projects/vmxnet/contrib/serf/incoming.c projects/vmxnet/contrib/serf/outgoing.c projects/vmxnet/contrib/serf/serf.h projects/vmxnet/contrib/serf/serf_bucket_types.h projects/vmxnet/contrib/serf/serf_bucket_util.h projects/vmxnet/contrib/serf/serf_private.h projects/vmxnet/contrib/serf/ssltunnel.c projects/vmxnet/contrib/subversion/CHANGES projects/vmxnet/contrib/subversion/Makefile.in projects/vmxnet/contrib/subversion/build-outputs.mk projects/vmxnet/contrib/subversion/configure projects/vmxnet/contrib/subversion/configure.ac projects/vmxnet/contrib/subversion/get-deps.sh projects/vmxnet/contrib/subversion/subversion/include/private/svn_client_private.h projects/vmxnet/contrib/subversion/subversion/include/private/svn_io_private.h projects/vmxnet/contrib/subversion/subversion/include/private/svn_ra_private.h projects/vmxnet/contrib/subversion/subversion/include/svn_version.h projects/vmxnet/contrib/subversion/subversion/libsvn_client/client.h projects/vmxnet/contrib/subversion/subversion/libsvn_client/commit.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/copy.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/diff.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/diff_local.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/log.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/merge.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/mergeinfo.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/ra.c projects/vmxnet/contrib/subversion/subversion/libsvn_client/switch.c projects/vmxnet/contrib/subversion/subversion/libsvn_delta/compat.c projects/vmxnet/contrib/subversion/subversion/libsvn_fs_base/bdb/env.c projects/vmxnet/contrib/subversion/subversion/libsvn_fs_base/tree.c projects/vmxnet/contrib/subversion/subversion/libsvn_fs_fs/fs_fs.c projects/vmxnet/contrib/subversion/subversion/libsvn_fs_fs/rep-cache-db.h projects/vmxnet/contrib/subversion/subversion/libsvn_fs_fs/tree.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra/ra_loader.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra/util.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/blame.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/commit.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/getdate.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/getlocations.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/getlocationsegments.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/getlocks.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/inherited_props.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/log.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/mergeinfo.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/options.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/property.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/ra_serf.h projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/replay.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/serf.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/update.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/util.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_serf/xml.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_svn/client.c projects/vmxnet/contrib/subversion/subversion/libsvn_ra_svn/protocol projects/vmxnet/contrib/subversion/subversion/libsvn_repos/fs-wrap.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/config_file.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/gpg_agent.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/internal_statements.h projects/vmxnet/contrib/subversion/subversion/libsvn_subr/io.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/named_atomic.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/sqlite.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/stream.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/subst.c projects/vmxnet/contrib/subversion/subversion/libsvn_subr/utf.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/adm_ops.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/crop.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/externals.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/update_editor.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/upgrade.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc-checks.h projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc-metadata.h projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc-queries.h projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc-queries.sql projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc_db.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc_db.h projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc_db_update_move.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/wc_db_wcroot.c projects/vmxnet/contrib/subversion/subversion/libsvn_wc/workqueue.c projects/vmxnet/contrib/subversion/subversion/svn/cl.h projects/vmxnet/contrib/subversion/subversion/svn/conflict-callbacks.c projects/vmxnet/contrib/subversion/subversion/svn/file-merge.c projects/vmxnet/contrib/subversion/subversion/svn/merge-cmd.c projects/vmxnet/contrib/subversion/subversion/svn/mergeinfo-cmd.c projects/vmxnet/contrib/subversion/subversion/svn/svn.c projects/vmxnet/contrib/subversion/subversion/svn/util.c projects/vmxnet/contrib/subversion/subversion/svnadmin/svnadmin.c projects/vmxnet/contrib/subversion/subversion/svnlook/svnlook.c projects/vmxnet/contrib/subversion/subversion/svnserve/serve.c projects/vmxnet/contrib/subversion/win-tests.py projects/vmxnet/contrib/tcpdump/tcpdump.c projects/vmxnet/contrib/tzdata/africa projects/vmxnet/contrib/tzdata/asia projects/vmxnet/contrib/tzdata/australasia projects/vmxnet/contrib/tzdata/europe projects/vmxnet/contrib/tzdata/southamerica projects/vmxnet/contrib/tzdata/zone.tab projects/vmxnet/contrib/wpa/COPYING projects/vmxnet/contrib/wpa/README projects/vmxnet/contrib/wpa/hostapd/ChangeLog projects/vmxnet/contrib/wpa/hostapd/README projects/vmxnet/contrib/wpa/hostapd/README-WPS projects/vmxnet/contrib/wpa/hostapd/config_file.c (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/config_file.h (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/ctrl_iface.c (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/ctrl_iface.h (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/defconfig projects/vmxnet/contrib/wpa/hostapd/dump_state.c (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/dump_state.h (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/eap_register.c (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/eap_register.h (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/hlr_auc_gw.c (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/hostapd.conf (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/hostapd.eap_user projects/vmxnet/contrib/wpa/hostapd/hostapd_cli.c (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/main.c (contents, props changed) projects/vmxnet/contrib/wpa/hostapd/nt_password_hash.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/accounting.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/accounting.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_config.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_config.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_drv_ops.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_drv_ops.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_list.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_list.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_mlme.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ap_mlme.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/authsrv.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/authsrv.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/beacon.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/beacon.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ctrl_iface_ap.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ctrl_iface_ap.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/drv_callbacks.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/hostapd.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/hostapd.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/hw_features.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/hw_features.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/iapp.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/iapp.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ieee802_11.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ieee802_11.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ieee802_11_auth.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ieee802_11_auth.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ieee802_11_ht.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ieee802_1x.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/ieee802_1x.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/peerkey_auth.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/pmksa_cache_auth.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/pmksa_cache_auth.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/preauth_auth.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/preauth_auth.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/sta_info.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/sta_info.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/tkip_countermeasures.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/tkip_countermeasures.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/utils.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/vlan_init.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wmm.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth_ft.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth_glue.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth_glue.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth_i.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth_ie.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wpa_auth_ie.h (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wps_hostapd.c (contents, props changed) projects/vmxnet/contrib/wpa/src/ap/wps_hostapd.h (contents, props changed) projects/vmxnet/contrib/wpa/src/common/defs.h projects/vmxnet/contrib/wpa/src/common/eapol_common.h projects/vmxnet/contrib/wpa/src/common/ieee802_11_common.c projects/vmxnet/contrib/wpa/src/common/ieee802_11_common.h projects/vmxnet/contrib/wpa/src/common/ieee802_11_defs.h projects/vmxnet/contrib/wpa/src/common/privsep_commands.h projects/vmxnet/contrib/wpa/src/common/version.h projects/vmxnet/contrib/wpa/src/common/wpa_common.c projects/vmxnet/contrib/wpa/src/common/wpa_common.h projects/vmxnet/contrib/wpa/src/common/wpa_ctrl.c projects/vmxnet/contrib/wpa/src/common/wpa_ctrl.h projects/vmxnet/contrib/wpa/src/crypto/aes-cbc.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-ctr.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-eax.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-encblock.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-internal-dec.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-internal-enc.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-internal.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-omac1.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-unwrap.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes-wrap.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes.h projects/vmxnet/contrib/wpa/src/crypto/aes_i.h (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/aes_wrap.h projects/vmxnet/contrib/wpa/src/crypto/crypto.h projects/vmxnet/contrib/wpa/src/crypto/crypto_cryptoapi.c projects/vmxnet/contrib/wpa/src/crypto/crypto_gnutls.c projects/vmxnet/contrib/wpa/src/crypto/crypto_internal-cipher.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/crypto_internal-modexp.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/crypto_internal-rsa.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/crypto_internal.c projects/vmxnet/contrib/wpa/src/crypto/crypto_libtomcrypt.c projects/vmxnet/contrib/wpa/src/crypto/crypto_none.c projects/vmxnet/contrib/wpa/src/crypto/crypto_nss.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/crypto_openssl.c projects/vmxnet/contrib/wpa/src/crypto/des-internal.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/des_i.h (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/dh_group5.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/dh_group5.h (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/dh_groups.c projects/vmxnet/contrib/wpa/src/crypto/dh_groups.h projects/vmxnet/contrib/wpa/src/crypto/fips_prf_cryptoapi.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/fips_prf_gnutls.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/fips_prf_internal.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/fips_prf_nss.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/fips_prf_openssl.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/md4-internal.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/md5-internal.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/md5.c projects/vmxnet/contrib/wpa/src/crypto/md5.h projects/vmxnet/contrib/wpa/src/crypto/md5_i.h (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/milenage.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/milenage.h (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/ms_funcs.c projects/vmxnet/contrib/wpa/src/crypto/ms_funcs.h projects/vmxnet/contrib/wpa/src/crypto/rc4.c projects/vmxnet/contrib/wpa/src/crypto/sha1-internal.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/sha1-pbkdf2.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/sha1-tlsprf.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/sha1-tprf.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/sha1.c projects/vmxnet/contrib/wpa/src/crypto/sha1.h projects/vmxnet/contrib/wpa/src/crypto/sha1_i.h (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/sha256-internal.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/sha256.c projects/vmxnet/contrib/wpa/src/crypto/sha256.h projects/vmxnet/contrib/wpa/src/crypto/tls.h projects/vmxnet/contrib/wpa/src/crypto/tls_gnutls.c projects/vmxnet/contrib/wpa/src/crypto/tls_internal.c projects/vmxnet/contrib/wpa/src/crypto/tls_none.c projects/vmxnet/contrib/wpa/src/crypto/tls_nss.c (contents, props changed) projects/vmxnet/contrib/wpa/src/crypto/tls_openssl.c projects/vmxnet/contrib/wpa/src/crypto/tls_schannel.c projects/vmxnet/contrib/wpa/src/drivers/driver.h projects/vmxnet/contrib/wpa/src/drivers/driver_ndis.c projects/vmxnet/contrib/wpa/src/drivers/driver_ndis.h projects/vmxnet/contrib/wpa/src/drivers/driver_ndis_.c projects/vmxnet/contrib/wpa/src/drivers/driver_wired.c projects/vmxnet/contrib/wpa/src/drivers/drivers.c projects/vmxnet/contrib/wpa/src/drivers/ndis_events.c projects/vmxnet/contrib/wpa/src/eap_common/chap.c projects/vmxnet/contrib/wpa/src/eap_common/chap.h projects/vmxnet/contrib/wpa/src/eap_common/eap_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_defs.h projects/vmxnet/contrib/wpa/src/eap_common/eap_fast_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_fast_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_gpsk_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_gpsk_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_ikev2_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_ikev2_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_pax_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_pax_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_peap_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_peap_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_psk_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_psk_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_sake_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_sake_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_sim_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_sim_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_tlv_common.h projects/vmxnet/contrib/wpa/src/eap_common/eap_ttls.h projects/vmxnet/contrib/wpa/src/eap_common/eap_wsc_common.c projects/vmxnet/contrib/wpa/src/eap_common/eap_wsc_common.h projects/vmxnet/contrib/wpa/src/eap_common/ikev2_common.c projects/vmxnet/contrib/wpa/src/eap_common/ikev2_common.h projects/vmxnet/contrib/wpa/src/eap_peer/eap.c projects/vmxnet/contrib/wpa/src/eap_peer/eap.h projects/vmxnet/contrib/wpa/src/eap_peer/eap_aka.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_config.h projects/vmxnet/contrib/wpa/src/eap_peer/eap_fast.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_fast_pac.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_fast_pac.h projects/vmxnet/contrib/wpa/src/eap_peer/eap_gpsk.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_gtc.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_i.h projects/vmxnet/contrib/wpa/src/eap_peer/eap_ikev2.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_leap.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_md5.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_methods.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_methods.h projects/vmxnet/contrib/wpa/src/eap_peer/eap_mschapv2.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_otp.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_pax.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_peap.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_psk.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_sake.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_sim.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_tls.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_tls_common.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_tls_common.h projects/vmxnet/contrib/wpa/src/eap_peer/eap_tnc.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_ttls.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_vendor_test.c projects/vmxnet/contrib/wpa/src/eap_peer/eap_wsc.c projects/vmxnet/contrib/wpa/src/eap_peer/ikev2.c projects/vmxnet/contrib/wpa/src/eap_peer/ikev2.h projects/vmxnet/contrib/wpa/src/eap_peer/mschapv2.c projects/vmxnet/contrib/wpa/src/eap_peer/mschapv2.h projects/vmxnet/contrib/wpa/src/eap_peer/tncc.c projects/vmxnet/contrib/wpa/src/eap_peer/tncc.h projects/vmxnet/contrib/wpa/src/eap_server/eap.h projects/vmxnet/contrib/wpa/src/eap_server/eap_i.h projects/vmxnet/contrib/wpa/src/eap_server/eap_methods.h projects/vmxnet/contrib/wpa/src/eap_server/eap_server.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_aka.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_fast.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_gpsk.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_gtc.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_identity.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_ikev2.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_md5.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_methods.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_mschapv2.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_pax.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_peap.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_psk.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_sake.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_sim.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_tls.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_tls_common.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_tnc.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_ttls.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_vendor_test.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_server_wsc.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eap_server/eap_sim_db.c projects/vmxnet/contrib/wpa/src/eap_server/eap_sim_db.h projects/vmxnet/contrib/wpa/src/eap_server/eap_tls_common.h projects/vmxnet/contrib/wpa/src/eap_server/ikev2.c projects/vmxnet/contrib/wpa/src/eap_server/ikev2.h projects/vmxnet/contrib/wpa/src/eap_server/tncs.c projects/vmxnet/contrib/wpa/src/eap_server/tncs.h projects/vmxnet/contrib/wpa/src/eapol_auth/eapol_auth_dump.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eapol_auth/eapol_auth_sm.c (contents, props changed) projects/vmxnet/contrib/wpa/src/eapol_auth/eapol_auth_sm.h (contents, props changed) projects/vmxnet/contrib/wpa/src/eapol_auth/eapol_auth_sm_i.h (contents, props changed) projects/vmxnet/contrib/wpa/src/eapol_supp/eapol_supp_sm.c projects/vmxnet/contrib/wpa/src/eapol_supp/eapol_supp_sm.h projects/vmxnet/contrib/wpa/src/l2_packet/l2_packet.h projects/vmxnet/contrib/wpa/src/l2_packet/l2_packet_freebsd.c projects/vmxnet/contrib/wpa/src/l2_packet/l2_packet_ndis.c projects/vmxnet/contrib/wpa/src/l2_packet/l2_packet_none.c projects/vmxnet/contrib/wpa/src/radius/radius.c projects/vmxnet/contrib/wpa/src/radius/radius.h projects/vmxnet/contrib/wpa/src/radius/radius_client.c projects/vmxnet/contrib/wpa/src/radius/radius_client.h projects/vmxnet/contrib/wpa/src/radius/radius_server.c projects/vmxnet/contrib/wpa/src/radius/radius_server.h projects/vmxnet/contrib/wpa/src/rsn_supp/peerkey.c projects/vmxnet/contrib/wpa/src/rsn_supp/peerkey.h projects/vmxnet/contrib/wpa/src/rsn_supp/pmksa_cache.c projects/vmxnet/contrib/wpa/src/rsn_supp/pmksa_cache.h projects/vmxnet/contrib/wpa/src/rsn_supp/preauth.c projects/vmxnet/contrib/wpa/src/rsn_supp/preauth.h projects/vmxnet/contrib/wpa/src/rsn_supp/wpa.c projects/vmxnet/contrib/wpa/src/rsn_supp/wpa.h projects/vmxnet/contrib/wpa/src/rsn_supp/wpa_ft.c projects/vmxnet/contrib/wpa/src/rsn_supp/wpa_i.h projects/vmxnet/contrib/wpa/src/rsn_supp/wpa_ie.c projects/vmxnet/contrib/wpa/src/rsn_supp/wpa_ie.h projects/vmxnet/contrib/wpa/src/tls/asn1.c projects/vmxnet/contrib/wpa/src/tls/asn1.h projects/vmxnet/contrib/wpa/src/tls/bignum.c projects/vmxnet/contrib/wpa/src/tls/bignum.h projects/vmxnet/contrib/wpa/src/tls/libtommath.c projects/vmxnet/contrib/wpa/src/tls/pkcs1.c (contents, props changed) projects/vmxnet/contrib/wpa/src/tls/pkcs1.h (contents, props changed) projects/vmxnet/contrib/wpa/src/tls/pkcs5.c (contents, props changed) projects/vmxnet/contrib/wpa/src/tls/pkcs5.h (contents, props changed) projects/vmxnet/contrib/wpa/src/tls/pkcs8.c (contents, props changed) projects/vmxnet/contrib/wpa/src/tls/pkcs8.h (contents, props changed) projects/vmxnet/contrib/wpa/src/tls/rsa.c projects/vmxnet/contrib/wpa/src/tls/rsa.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_client.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_client.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_client_i.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_client_read.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_client_write.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_common.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_common.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_cred.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_cred.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_record.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_record.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_server.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_server.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_server_i.h projects/vmxnet/contrib/wpa/src/tls/tlsv1_server_read.c projects/vmxnet/contrib/wpa/src/tls/tlsv1_server_write.c projects/vmxnet/contrib/wpa/src/tls/x509v3.c projects/vmxnet/contrib/wpa/src/tls/x509v3.h projects/vmxnet/contrib/wpa/src/utils/base64.c projects/vmxnet/contrib/wpa/src/utils/base64.h projects/vmxnet/contrib/wpa/src/utils/build_config.h projects/vmxnet/contrib/wpa/src/utils/common.c projects/vmxnet/contrib/wpa/src/utils/common.h projects/vmxnet/contrib/wpa/src/utils/eloop.c projects/vmxnet/contrib/wpa/src/utils/eloop.h projects/vmxnet/contrib/wpa/src/utils/eloop_none.c projects/vmxnet/contrib/wpa/src/utils/eloop_win.c projects/vmxnet/contrib/wpa/src/utils/includes.h projects/vmxnet/contrib/wpa/src/utils/ip_addr.c projects/vmxnet/contrib/wpa/src/utils/ip_addr.h projects/vmxnet/contrib/wpa/src/utils/list.h (contents, props changed) projects/vmxnet/contrib/wpa/src/utils/os.h projects/vmxnet/contrib/wpa/src/utils/os_internal.c projects/vmxnet/contrib/wpa/src/utils/os_none.c projects/vmxnet/contrib/wpa/src/utils/os_unix.c projects/vmxnet/contrib/wpa/src/utils/os_win32.c projects/vmxnet/contrib/wpa/src/utils/pcsc_funcs.c projects/vmxnet/contrib/wpa/src/utils/pcsc_funcs.h projects/vmxnet/contrib/wpa/src/utils/radiotap.h (contents, props changed) projects/vmxnet/contrib/wpa/src/utils/radiotap_iter.h (contents, props changed) projects/vmxnet/contrib/wpa/src/utils/state_machine.h projects/vmxnet/contrib/wpa/src/utils/trace.c (contents, props changed) projects/vmxnet/contrib/wpa/src/utils/trace.h (contents, props changed) projects/vmxnet/contrib/wpa/src/utils/uuid.c projects/vmxnet/contrib/wpa/src/utils/uuid.h projects/vmxnet/contrib/wpa/src/utils/wpa_debug.c projects/vmxnet/contrib/wpa/src/utils/wpa_debug.h projects/vmxnet/contrib/wpa/src/utils/wpabuf.c projects/vmxnet/contrib/wpa/src/utils/wpabuf.h projects/vmxnet/contrib/wpa/src/wps/http_client.c (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/http_client.h (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/http_server.c (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/http_server.h (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/httpread.c projects/vmxnet/contrib/wpa/src/wps/httpread.h projects/vmxnet/contrib/wpa/src/wps/ndef.c (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/upnp_xml.c (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/upnp_xml.h (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/wps.c projects/vmxnet/contrib/wpa/src/wps/wps.h projects/vmxnet/contrib/wpa/src/wps/wps_attr_build.c projects/vmxnet/contrib/wpa/src/wps/wps_attr_parse.c projects/vmxnet/contrib/wpa/src/wps/wps_attr_process.c projects/vmxnet/contrib/wpa/src/wps/wps_common.c projects/vmxnet/contrib/wpa/src/wps/wps_defs.h projects/vmxnet/contrib/wpa/src/wps/wps_dev_attr.c projects/vmxnet/contrib/wpa/src/wps/wps_dev_attr.h projects/vmxnet/contrib/wpa/src/wps/wps_enrollee.c projects/vmxnet/contrib/wpa/src/wps/wps_er.c (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/wps_er.h (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/wps_er_ssdp.c (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/wps_i.h projects/vmxnet/contrib/wpa/src/wps/wps_registrar.c projects/vmxnet/contrib/wpa/src/wps/wps_upnp.c projects/vmxnet/contrib/wpa/src/wps/wps_upnp.h projects/vmxnet/contrib/wpa/src/wps/wps_upnp_ap.c (contents, props changed) projects/vmxnet/contrib/wpa/src/wps/wps_upnp_event.c projects/vmxnet/contrib/wpa/src/wps/wps_upnp_i.h projects/vmxnet/contrib/wpa/src/wps/wps_upnp_ssdp.c projects/vmxnet/contrib/wpa/src/wps/wps_upnp_web.c projects/vmxnet/contrib/wpa/wpa_supplicant/ChangeLog projects/vmxnet/contrib/wpa/wpa_supplicant/README projects/vmxnet/contrib/wpa/wpa_supplicant/README-WPS projects/vmxnet/contrib/wpa/wpa_supplicant/ap.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/ap.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/bgscan.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/bgscan.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/bgscan_simple.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/blacklist.c projects/vmxnet/contrib/wpa/wpa_supplicant/blacklist.h projects/vmxnet/contrib/wpa/wpa_supplicant/bss.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/bss.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/config.c projects/vmxnet/contrib/wpa/wpa_supplicant/config.h projects/vmxnet/contrib/wpa/wpa_supplicant/config_file.c projects/vmxnet/contrib/wpa/wpa_supplicant/config_none.c projects/vmxnet/contrib/wpa/wpa_supplicant/config_ssid.h projects/vmxnet/contrib/wpa/wpa_supplicant/ctrl_iface.c projects/vmxnet/contrib/wpa/wpa_supplicant/ctrl_iface.h projects/vmxnet/contrib/wpa/wpa_supplicant/ctrl_iface_named_pipe.c projects/vmxnet/contrib/wpa/wpa_supplicant/ctrl_iface_udp.c projects/vmxnet/contrib/wpa/wpa_supplicant/ctrl_iface_unix.c projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/Makefile (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_common.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_common.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_common_i.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_dict_helpers.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_dict_helpers.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_wps.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_helpers.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_helpers.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_new_introspect.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_old.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_old.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers_wps.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/defconfig projects/vmxnet/contrib/wpa/wpa_supplicant/driver_i.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/eap_register.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/eapol_test.c projects/vmxnet/contrib/wpa/wpa_supplicant/events.c projects/vmxnet/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-signals.py (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/ibss_rsn.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/ibss_rsn.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/main.c projects/vmxnet/contrib/wpa/wpa_supplicant/main_none.c projects/vmxnet/contrib/wpa/wpa_supplicant/notify.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/notify.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/preauth_test.c projects/vmxnet/contrib/wpa/wpa_supplicant/scan.c projects/vmxnet/contrib/wpa/wpa_supplicant/scan.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/sme.c (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/sme.h (contents, props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/tests/test_eap_sim_common.c projects/vmxnet/contrib/wpa/wpa_supplicant/tests/test_wpa.c projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_cli.c projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_passphrase.c projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_priv.c projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_supplicant.c projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_supplicant.conf projects/vmxnet/contrib/wpa/wpa_supplicant/wpa_supplicant_i.h projects/vmxnet/contrib/wpa/wpa_supplicant/wpas_glue.c projects/vmxnet/contrib/wpa/wpa_supplicant/wpas_glue.h projects/vmxnet/contrib/wpa/wpa_supplicant/wps_supplicant.c projects/vmxnet/contrib/wpa/wpa_supplicant/wps_supplicant.h projects/vmxnet/etc/Makefile projects/vmxnet/etc/devd/usb.conf projects/vmxnet/etc/mtree/BSD.usr.dist projects/vmxnet/etc/network.subr projects/vmxnet/etc/rc.d/netif projects/vmxnet/etc/rc.d/pfsync projects/vmxnet/etc/rc.d/zfs projects/vmxnet/gnu/usr.bin/patch/Makefile projects/vmxnet/include/stdlib.h projects/vmxnet/lib/libc++/Makefile projects/vmxnet/lib/libc/arm/gen/sigsetjmp.S projects/vmxnet/lib/libc/gen/sysctl.3 projects/vmxnet/lib/libc/gen/wordexp.c projects/vmxnet/lib/libc/net/getaddrinfo.3 projects/vmxnet/lib/libc/net/getnameinfo.3 projects/vmxnet/lib/libc/net/sctp_sys_calls.c projects/vmxnet/lib/libc/sparc64/gen/makecontext.c projects/vmxnet/lib/libc/sparc64/gen/signalcontext.c projects/vmxnet/lib/libc/stdio/fwrite.c projects/vmxnet/lib/libc/stdio/mktemp.3 projects/vmxnet/lib/libc/stdlib/getenv.c projects/vmxnet/lib/libc/sys/kqueue.2 projects/vmxnet/lib/libc/sys/ptrace.2 projects/vmxnet/lib/libfetch/common.c projects/vmxnet/lib/libfetch/common.h projects/vmxnet/lib/libfetch/fetch.3 projects/vmxnet/lib/libfetch/http.c projects/vmxnet/lib/libgeom/geom_xml2tree.c projects/vmxnet/lib/libgeom/libgeom.h projects/vmxnet/lib/libkvm/Makefile projects/vmxnet/lib/libkvm/kvm.h projects/vmxnet/lib/libthread_db/arch/sparc64/libpthread_md.c projects/vmxnet/lib/libusb/Makefile projects/vmxnet/lib/libusb/libusb20.c projects/vmxnet/lib/libusb/libusb20_ugen20.c projects/vmxnet/lib/libusb/libusb_global_linux.h projects/vmxnet/lib/msun/src/math.h projects/vmxnet/release/Makefile projects/vmxnet/release/ia64/mkisoimages.sh projects/vmxnet/release/release.conf.sample projects/vmxnet/release/release.sh projects/vmxnet/release/sparc64/mkisoimages.sh projects/vmxnet/sbin/devd/devd.cc projects/vmxnet/sbin/devfs/devfs.8 projects/vmxnet/sbin/etherswitchcfg/etherswitchcfg.c projects/vmxnet/sbin/fsck_ffs/fsck.h projects/vmxnet/sbin/fsck_ffs/fsck_ffs.8 projects/vmxnet/sbin/fsck_ffs/fsutil.c projects/vmxnet/sbin/fsck_ffs/main.c projects/vmxnet/sbin/ipfw/ipfw.8 projects/vmxnet/sbin/mdconfig/mdconfig.c projects/vmxnet/sbin/mount/Makefile (contents, props changed) projects/vmxnet/sbin/mount/mount.8 projects/vmxnet/sbin/mount/mount.c projects/vmxnet/sbin/mount_nfs/mount_nfs.8 projects/vmxnet/sbin/nvmecontrol/Makefile projects/vmxnet/sbin/nvmecontrol/devlist.c projects/vmxnet/sbin/nvmecontrol/firmware.c projects/vmxnet/sbin/nvmecontrol/identify.c projects/vmxnet/sbin/nvmecontrol/logpage.c projects/vmxnet/sbin/nvmecontrol/nvmecontrol.c projects/vmxnet/sbin/nvmecontrol/nvmecontrol.h projects/vmxnet/sbin/nvmecontrol/perftest.c projects/vmxnet/sbin/nvmecontrol/reset.c projects/vmxnet/sbin/recoverdisk/recoverdisk.1 projects/vmxnet/sbin/route/Makefile projects/vmxnet/sbin/route/route.c projects/vmxnet/sbin/swapon/swapon.c projects/vmxnet/share/doc/legal/Makefile projects/vmxnet/share/examples/kld/syscall/test/call.c projects/vmxnet/share/man/man4/Makefile projects/vmxnet/share/man/man4/ath_hal.4 projects/vmxnet/share/man/man4/bridge.4 projects/vmxnet/share/man/man4/hptiop.4 projects/vmxnet/share/man/man4/mfi.4 projects/vmxnet/share/man/man4/nvd.4 projects/vmxnet/share/man/man4/nvme.4 projects/vmxnet/share/man/man4/oce.4 projects/vmxnet/share/man/man5/fstab.5 projects/vmxnet/share/man/man5/rc.conf.5 projects/vmxnet/share/man/man5/src.conf.5 projects/vmxnet/share/man/man9/Makefile projects/vmxnet/share/man/man9/bus_dma.9 projects/vmxnet/share/man/man9/microuptime.9 projects/vmxnet/share/misc/committers-ports.dot projects/vmxnet/share/mk/bsd.own.mk projects/vmxnet/share/monetdef/Makefile projects/vmxnet/sys/amd64/amd64/identcpu.c projects/vmxnet/sys/amd64/amd64/initcpu.c projects/vmxnet/sys/amd64/amd64/machdep.c projects/vmxnet/sys/amd64/amd64/pmap.c projects/vmxnet/sys/amd64/amd64/sys_machdep.c projects/vmxnet/sys/amd64/conf/GENERIC projects/vmxnet/sys/amd64/conf/NOTES projects/vmxnet/sys/amd64/include/md_var.h projects/vmxnet/sys/amd64/vmm/intel/vmx.c projects/vmxnet/sys/amd64/vmm/vmm.c projects/vmxnet/sys/amd64/vmm/vmm_instruction_emul.c projects/vmxnet/sys/arm/arm/busdma_machdep-v6.c projects/vmxnet/sys/arm/arm/cpufunc.c projects/vmxnet/sys/arm/arm/db_trace.c projects/vmxnet/sys/arm/arm/elf_trampoline.c projects/vmxnet/sys/arm/arm/generic_timer.c projects/vmxnet/sys/arm/arm/gic.c projects/vmxnet/sys/arm/arm/identcpu.c projects/vmxnet/sys/arm/arm/pl310.c projects/vmxnet/sys/arm/arm/pmap-v6.c projects/vmxnet/sys/arm/arm/trap.c projects/vmxnet/sys/arm/arm/vfp.c projects/vmxnet/sys/arm/arm/vm_machdep.c projects/vmxnet/sys/arm/broadcom/bcm2835/bcm2835_fb.c projects/vmxnet/sys/arm/broadcom/bcm2835/bcm2835_mbox.c projects/vmxnet/sys/arm/broadcom/bcm2835/bcm2835_mbox.h projects/vmxnet/sys/arm/broadcom/bcm2835/files.bcm2835 projects/vmxnet/sys/arm/conf/BEAGLEBONE projects/vmxnet/sys/arm/conf/EFIKA_MX projects/vmxnet/sys/arm/conf/RPI-B projects/vmxnet/sys/arm/freescale/imx/console.c projects/vmxnet/sys/arm/include/armreg.h projects/vmxnet/sys/arm/include/atomic.h projects/vmxnet/sys/arm/include/kdb.h projects/vmxnet/sys/arm/include/smp.h projects/vmxnet/sys/arm/ti/am335x/am335x_pmic.c projects/vmxnet/sys/arm/ti/am335x/am335x_prcm.c projects/vmxnet/sys/arm/ti/am335x/am335x_pwm.c projects/vmxnet/sys/arm/ti/am335x/files.am335x projects/vmxnet/sys/arm/ti/ti_mmchs.c projects/vmxnet/sys/arm/ti/ti_mmchs.h projects/vmxnet/sys/arm/ti/ti_prcm.h projects/vmxnet/sys/boot/arm/uboot/Makefile projects/vmxnet/sys/boot/fdt/dts/am335x-evm.dts projects/vmxnet/sys/boot/fdt/dts/am335x.dtsi projects/vmxnet/sys/boot/fdt/dts/beaglebone-black.dts projects/vmxnet/sys/boot/fdt/dts/beaglebone.dts projects/vmxnet/sys/boot/ficl/loader.c projects/vmxnet/sys/boot/forth/loader.conf projects/vmxnet/sys/boot/forth/loader.rc projects/vmxnet/sys/cam/ata/ata_da.c projects/vmxnet/sys/cam/cam_ccb.h projects/vmxnet/sys/cam/cam_periph.c projects/vmxnet/sys/cam/cam_xpt.c projects/vmxnet/sys/cam/scsi/scsi_all.c projects/vmxnet/sys/cam/scsi/scsi_all.h projects/vmxnet/sys/cam/scsi/scsi_ch.c projects/vmxnet/sys/cam/scsi/scsi_da.c projects/vmxnet/sys/cam/scsi/scsi_xpt.c projects/vmxnet/sys/cddl/contrib/opensolaris/common/zfs/zfs_prop.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/dtrace/fasttrap.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dbuf.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_objset.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_send.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_tx.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_dataset.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_destroy.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa_misc.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/ddt.h projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_impl.h projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_objset.h projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_send.h projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_dataset.h projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_destroy.h projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zio_impl.h projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_geom.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_ioctl.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vfsops.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vnops.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_znode.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zio.c projects/vmxnet/sys/cddl/contrib/opensolaris/uts/common/sys/fs/zfs.h projects/vmxnet/sys/cddl/dev/dtrace/amd64/dis_tables.c projects/vmxnet/sys/cddl/dev/dtrace/amd64/dis_tables.h projects/vmxnet/sys/cddl/dev/dtrace/dtrace_ioctl.c projects/vmxnet/sys/cddl/dev/dtrace/dtrace_load.c projects/vmxnet/sys/cddl/dev/dtrace/dtrace_unload.c projects/vmxnet/sys/cddl/dev/dtrace/i386/dis_tables.c projects/vmxnet/sys/cddl/dev/dtrace/i386/dis_tables.h projects/vmxnet/sys/compat/freebsd32/freebsd32.h projects/vmxnet/sys/compat/freebsd32/freebsd32_misc.c projects/vmxnet/sys/compat/freebsd32/freebsd32_proto.h projects/vmxnet/sys/compat/freebsd32/freebsd32_signal.h projects/vmxnet/sys/compat/freebsd32/freebsd32_syscall.h projects/vmxnet/sys/compat/freebsd32/freebsd32_syscalls.c projects/vmxnet/sys/compat/freebsd32/freebsd32_sysent.c projects/vmxnet/sys/compat/freebsd32/freebsd32_systrace_args.c projects/vmxnet/sys/compat/freebsd32/syscalls.master projects/vmxnet/sys/compat/linux/linux_ioctl.c projects/vmxnet/sys/compat/linux/linux_ioctl.h projects/vmxnet/sys/conf/Makefile.amd64 projects/vmxnet/sys/conf/Makefile.arm projects/vmxnet/sys/conf/NOTES projects/vmxnet/sys/conf/WITHOUT_SOURCELESS_HOST projects/vmxnet/sys/conf/WITHOUT_SOURCELESS_UCODE projects/vmxnet/sys/conf/files projects/vmxnet/sys/conf/files.amd64 projects/vmxnet/sys/conf/files.i386 projects/vmxnet/sys/conf/kmod.mk projects/vmxnet/sys/conf/newvers.sh projects/vmxnet/sys/conf/options projects/vmxnet/sys/contrib/dev/acpica/changes.txt (contents, props changed) projects/vmxnet/sys/contrib/dev/acpica/common/dmextern.c projects/vmxnet/sys/contrib/dev/acpica/common/getopt.c projects/vmxnet/sys/contrib/dev/acpica/compiler/asloffset.c projects/vmxnet/sys/contrib/dev/acpica/compiler/asloperands.c projects/vmxnet/sys/contrib/dev/acpica/compiler/asloptions.c projects/vmxnet/sys/contrib/dev/acpica/compiler/dttemplate.c projects/vmxnet/sys/contrib/dev/acpica/components/executer/exoparg1.c projects/vmxnet/sys/contrib/dev/acpica/components/hardware/hwtimer.c projects/vmxnet/sys/contrib/dev/acpica/components/namespace/nspredef.c projects/vmxnet/sys/contrib/dev/acpica/components/namespace/nswalk.c projects/vmxnet/sys/contrib/dev/acpica/components/namespace/nsxfeval.c projects/vmxnet/sys/contrib/dev/acpica/components/tables/tbfadt.c projects/vmxnet/sys/contrib/dev/acpica/components/tables/tbxfroot.c projects/vmxnet/sys/contrib/dev/acpica/components/utilities/utglobal.c projects/vmxnet/sys/contrib/dev/acpica/components/utilities/utosi.c projects/vmxnet/sys/contrib/dev/acpica/components/utilities/utxface.c projects/vmxnet/sys/contrib/dev/acpica/include/acglobal.h projects/vmxnet/sys/contrib/dev/acpica/include/aclocal.h projects/vmxnet/sys/contrib/dev/acpica/include/acnamesp.h projects/vmxnet/sys/contrib/dev/acpica/include/acpixf.h projects/vmxnet/sys/contrib/dev/acpica/include/actables.h projects/vmxnet/sys/contrib/dev/acpica/include/actypes.h projects/vmxnet/sys/contrib/dev/acpica/include/acutils.h projects/vmxnet/sys/crypto/sha2/sha2.c projects/vmxnet/sys/crypto/sha2/sha2.h projects/vmxnet/sys/dev/acpica/acpi_resource.c projects/vmxnet/sys/dev/ae/if_ae.c projects/vmxnet/sys/dev/ae/if_aereg.h projects/vmxnet/sys/dev/ahci/ahci.c projects/vmxnet/sys/dev/ahci/ahci.h projects/vmxnet/sys/dev/aic7xxx/aic7xxx_pci.c projects/vmxnet/sys/dev/arcmsr/arcmsr.c projects/vmxnet/sys/dev/arcmsr/arcmsr.h projects/vmxnet/sys/dev/ata/ata-pci.h projects/vmxnet/sys/dev/ata/chipsets/ata-intel.c projects/vmxnet/sys/dev/ata/chipsets/ata-promise.c projects/vmxnet/sys/dev/bge/if_bge.c projects/vmxnet/sys/dev/bge/if_bgereg.h projects/vmxnet/sys/dev/ciss/ciss.c projects/vmxnet/sys/dev/cxgbe/adapter.h projects/vmxnet/sys/dev/cxgbe/common/t4_hw.c projects/vmxnet/sys/dev/cxgbe/common/t4_hw.h projects/vmxnet/sys/dev/cxgbe/common/t4_msg.h projects/vmxnet/sys/dev/cxgbe/firmware/t5fw_cfg.txt projects/vmxnet/sys/dev/cxgbe/offload.h projects/vmxnet/sys/dev/cxgbe/t4_ioctl.h projects/vmxnet/sys/dev/cxgbe/t4_main.c projects/vmxnet/sys/dev/cxgbe/t4_sge.c projects/vmxnet/sys/dev/cxgbe/tom/t4_connect.c projects/vmxnet/sys/dev/cxgbe/tom/t4_listen.c projects/vmxnet/sys/dev/cxgbe/tom/t4_tom.c projects/vmxnet/sys/dev/drm2/i915/i915_gem.c projects/vmxnet/sys/dev/drm2/i915/intel_ringbuffer.c projects/vmxnet/sys/dev/drm2/ttm/ttm_bo_vm.c projects/vmxnet/sys/dev/e1000/if_em.c projects/vmxnet/sys/dev/e1000/if_igb.c projects/vmxnet/sys/dev/e1000/if_lem.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_7240.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_8216.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_8226.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_8316.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_phy.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_reg.c projects/vmxnet/sys/dev/etherswitch/arswitch/arswitch_reg.h projects/vmxnet/sys/dev/etherswitch/arswitch/arswitchreg.h projects/vmxnet/sys/dev/etherswitch/arswitch/arswitchvar.h projects/vmxnet/sys/dev/etherswitch/etherswitch.h projects/vmxnet/sys/dev/etherswitch/ip17x/ip175c.c projects/vmxnet/sys/dev/etherswitch/ip17x/ip175d.c projects/vmxnet/sys/dev/etherswitch/ip17x/ip17x_vlans.c projects/vmxnet/sys/dev/etherswitch/rtl8366/rtl8366rb.c projects/vmxnet/sys/dev/filemon/filemon.c projects/vmxnet/sys/dev/hme/if_hme.c projects/vmxnet/sys/dev/hpt27xx/hpt27xx_config.c projects/vmxnet/sys/dev/hptiop/hptiop.c projects/vmxnet/sys/dev/ichsmb/ichsmb_pci.c projects/vmxnet/sys/dev/ichwd/ichwd.c projects/vmxnet/sys/dev/ichwd/ichwd.h projects/vmxnet/sys/dev/ipmi/ipmi_isa.c projects/vmxnet/sys/dev/ipmi/ipmivars.h projects/vmxnet/sys/dev/isp/isp.c projects/vmxnet/sys/dev/isp/ispreg.h projects/vmxnet/sys/dev/iwn/if_iwn.c projects/vmxnet/sys/dev/iwn/if_iwnreg.h projects/vmxnet/sys/dev/iwn/if_iwnvar.h projects/vmxnet/sys/dev/ixgb/if_ixgb.c projects/vmxnet/sys/dev/ixgb/ixgb_ids.h projects/vmxnet/sys/dev/ixgbe/ixgbe.c projects/vmxnet/sys/dev/ixgbe/ixv.c projects/vmxnet/sys/dev/mem/memdev.c projects/vmxnet/sys/dev/mii/brgphy.c projects/vmxnet/sys/dev/mii/miidevs projects/vmxnet/sys/dev/mmc/mmcsd.c projects/vmxnet/sys/dev/mps/mps.c projects/vmxnet/sys/dev/mps/mps_config.c projects/vmxnet/sys/dev/mps/mps_mapping.c projects/vmxnet/sys/dev/mps/mps_pci.c projects/vmxnet/sys/dev/mps/mps_sas.c projects/vmxnet/sys/dev/mps/mps_sas.h projects/vmxnet/sys/dev/mps/mps_sas_lsi.c projects/vmxnet/sys/dev/mps/mps_table.c projects/vmxnet/sys/dev/mps/mps_user.c projects/vmxnet/sys/dev/mps/mpsvar.h projects/vmxnet/sys/dev/nvd/nvd.c projects/vmxnet/sys/dev/nvme/nvme.c projects/vmxnet/sys/dev/nvme/nvme.h projects/vmxnet/sys/dev/nvme/nvme_ctrlr.c projects/vmxnet/sys/dev/nvme/nvme_ctrlr_cmd.c projects/vmxnet/sys/dev/nvme/nvme_ns.c projects/vmxnet/sys/dev/nvme/nvme_ns_cmd.c projects/vmxnet/sys/dev/nvme/nvme_private.h projects/vmxnet/sys/dev/nvme/nvme_qpair.c projects/vmxnet/sys/dev/nvme/nvme_sysctl.c projects/vmxnet/sys/dev/nvme/nvme_test.c projects/vmxnet/sys/dev/oce/oce_hw.c projects/vmxnet/sys/dev/oce/oce_hw.h projects/vmxnet/sys/dev/oce/oce_if.c projects/vmxnet/sys/dev/oce/oce_if.h projects/vmxnet/sys/dev/oce/oce_mbox.c projects/vmxnet/sys/dev/oce/oce_queue.c projects/vmxnet/sys/dev/oce/oce_sysctl.c projects/vmxnet/sys/dev/oce/oce_util.c projects/vmxnet/sys/dev/pci/pci.c projects/vmxnet/sys/dev/pci/pci_pci.c projects/vmxnet/sys/dev/pci/pci_private.h projects/vmxnet/sys/dev/pci/pcib_private.h projects/vmxnet/sys/dev/pci/pcivar.h projects/vmxnet/sys/dev/ral/rt2560.c projects/vmxnet/sys/dev/ral/rt2661.c projects/vmxnet/sys/dev/ral/rt2860.c projects/vmxnet/sys/dev/random/probe.c projects/vmxnet/sys/dev/random/randomdev.c projects/vmxnet/sys/dev/random/randomdev.h projects/vmxnet/sys/dev/random/randomdev_soft.c projects/vmxnet/sys/dev/scc/scc_bfe.h projects/vmxnet/sys/dev/scc/scc_bfe_ebus.c projects/vmxnet/sys/dev/scc/scc_bfe_macio.c projects/vmxnet/sys/dev/scc/scc_bfe_quicc.c projects/vmxnet/sys/dev/scc/scc_bfe_sbus.c projects/vmxnet/sys/dev/scc/scc_core.c projects/vmxnet/sys/dev/scc/scc_dev_quicc.c projects/vmxnet/sys/dev/scc/scc_dev_sab82532.c projects/vmxnet/sys/dev/scc/scc_dev_z8530.c projects/vmxnet/sys/dev/sound/pci/ds1.c projects/vmxnet/sys/dev/sound/pci/hda/hdaa_patches.c projects/vmxnet/sys/dev/sound/pci/hda/hdac.h projects/vmxnet/sys/dev/syscons/syscons.c projects/vmxnet/sys/dev/uart/uart_bus_pci.c projects/vmxnet/sys/dev/uart/uart_core.c projects/vmxnet/sys/dev/usb/controller/musb_otg.c projects/vmxnet/sys/dev/usb/controller/musb_otg.h projects/vmxnet/sys/dev/usb/controller/musb_otg_atmelarm.c projects/vmxnet/sys/dev/usb/controller/xhci.c projects/vmxnet/sys/dev/usb/controller/xhci.h projects/vmxnet/sys/dev/usb/controller/xhci_pci.c projects/vmxnet/sys/dev/usb/input/ukbd.c projects/vmxnet/sys/dev/usb/net/if_ipheth.c projects/vmxnet/sys/dev/usb/usb_pf.c projects/vmxnet/sys/dev/usb/wlan/if_rumvar.h projects/vmxnet/sys/dev/usb/wlan/if_runvar.h projects/vmxnet/sys/dev/usb/wlan/if_uathvar.h projects/vmxnet/sys/dev/usb/wlan/if_upgtvar.h projects/vmxnet/sys/dev/usb/wlan/if_uralvar.h projects/vmxnet/sys/dev/usb/wlan/if_urtwn.c projects/vmxnet/sys/dev/usb/wlan/if_urtwnreg.h projects/vmxnet/sys/dev/usb/wlan/if_urtwvar.h projects/vmxnet/sys/dev/usb/wlan/if_zydreg.h projects/vmxnet/sys/dev/watchdog/watchdog.c projects/vmxnet/sys/dev/wi/if_wi.c projects/vmxnet/sys/fs/cd9660/iso.h projects/vmxnet/sys/fs/devfs/devfs_rule.c projects/vmxnet/sys/fs/ext2fs/ext2_dir.h projects/vmxnet/sys/fs/ext2fs/ext2_extern.h projects/vmxnet/sys/fs/ext2fs/ext2_inode_cnv.c projects/vmxnet/sys/fs/ext2fs/ext2_lookup.c projects/vmxnet/sys/fs/ext2fs/ext2_vfsops.c projects/vmxnet/sys/fs/ext2fs/ext2_vnops.c projects/vmxnet/sys/fs/ext2fs/ext2fs.h projects/vmxnet/sys/fs/nfs/nfs.h projects/vmxnet/sys/fs/nfs/nfs_commonkrpc.c projects/vmxnet/sys/fs/nfs/nfs_commonsubs.c projects/vmxnet/sys/fs/nfsclient/nfs_clvfsops.c projects/vmxnet/sys/fs/nullfs/null_vnops.c projects/vmxnet/sys/fs/tmpfs/tmpfs_vfsops.c projects/vmxnet/sys/fs/tmpfs/tmpfs_vnops.c projects/vmxnet/sys/gdb/gdb_cons.c projects/vmxnet/sys/geom/journal/g_journal.c projects/vmxnet/sys/geom/part/g_part.c projects/vmxnet/sys/geom/part/g_part_apm.c projects/vmxnet/sys/geom/raid/g_raid.c projects/vmxnet/sys/geom/raid/g_raid_ctl.c projects/vmxnet/sys/i386/conf/GENERIC projects/vmxnet/sys/i386/conf/NOTES projects/vmxnet/sys/i386/conf/PAE projects/vmxnet/sys/i386/conf/XEN projects/vmxnet/sys/i386/i386/identcpu.c projects/vmxnet/sys/i386/i386/initcpu.c projects/vmxnet/sys/i386/i386/pmap.c projects/vmxnet/sys/i386/i386/support.s projects/vmxnet/sys/i386/i386/symbols.raw projects/vmxnet/sys/i386/i386/sys_machdep.c projects/vmxnet/sys/i386/i386/uio_machdep.c projects/vmxnet/sys/i386/i386/vm_machdep.c projects/vmxnet/sys/i386/include/md_var.h projects/vmxnet/sys/ia64/ia64/mca.c projects/vmxnet/sys/ia64/ia64/pmap.c projects/vmxnet/sys/ia64/pci/pci_cfgreg.c projects/vmxnet/sys/kern/imgact_elf.c projects/vmxnet/sys/kern/init_main.c projects/vmxnet/sys/kern/kern_exec.c projects/vmxnet/sys/kern/kern_exit.c projects/vmxnet/sys/kern/kern_malloc.c projects/vmxnet/sys/kern/kern_mbuf.c projects/vmxnet/sys/kern/kern_ntptime.c projects/vmxnet/sys/kern/kern_priv.c projects/vmxnet/sys/kern/kern_rmlock.c projects/vmxnet/sys/kern/kern_sig.c projects/vmxnet/sys/kern/kern_synch.c projects/vmxnet/sys/kern/kern_time.c projects/vmxnet/sys/kern/kern_uuid.c projects/vmxnet/sys/kern/sched_4bsd.c projects/vmxnet/sys/kern/subr_bus.c projects/vmxnet/sys/kern/subr_counter.c projects/vmxnet/sys/kern/subr_vmem.c projects/vmxnet/sys/kern/subr_witness.c projects/vmxnet/sys/kern/sys_process.c projects/vmxnet/sys/kern/sysv_shm.c projects/vmxnet/sys/kern/uipc_mbuf.c projects/vmxnet/sys/kern/uipc_mqueue.c projects/vmxnet/sys/kern/uipc_shm.c projects/vmxnet/sys/kern/uipc_syscalls.c projects/vmxnet/sys/kern/vfs_aio.c projects/vmxnet/sys/kern/vfs_bio.c projects/vmxnet/sys/kern/vfs_cache.c projects/vmxnet/sys/kern/vfs_mount.c projects/vmxnet/sys/kern/vfs_mountroot.c projects/vmxnet/sys/kern/vfs_subr.c projects/vmxnet/sys/kern/vfs_vnops.c projects/vmxnet/sys/kgssapi/gss_impl.c projects/vmxnet/sys/mips/atheros/ar71xx_chip.c projects/vmxnet/sys/mips/atheros/ar71xx_cpudef.h projects/vmxnet/sys/mips/atheros/ar71xx_gpio.c projects/vmxnet/sys/mips/atheros/ar71xx_setup.c projects/vmxnet/sys/mips/atheros/ar71xx_setup.h projects/vmxnet/sys/mips/atheros/ar724x_chip.c projects/vmxnet/sys/mips/atheros/ar91xx_chip.c projects/vmxnet/sys/mips/atheros/ar933x_chip.c projects/vmxnet/sys/mips/atheros/files.ar71xx projects/vmxnet/sys/mips/atheros/uart_bus_ar71xx.c projects/vmxnet/sys/mips/atheros/uart_bus_ar933x.c projects/vmxnet/sys/mips/atheros/uart_cpu_ar71xx.c projects/vmxnet/sys/mips/atheros/uart_cpu_ar933x.c projects/vmxnet/sys/mips/cavium/std.octeon1 projects/vmxnet/sys/mips/conf/DIR-825 projects/vmxnet/sys/mips/conf/DIR-825.hints projects/vmxnet/sys/mips/include/atomic.h projects/vmxnet/sys/mips/include/elf.h projects/vmxnet/sys/mips/mips/uio_machdep.c projects/vmxnet/sys/mips/mips/vm_machdep.c projects/vmxnet/sys/modules/Makefile projects/vmxnet/sys/modules/cxgbe/if_cxgbe/Makefile projects/vmxnet/sys/modules/dtrace/dtrace/Makefile projects/vmxnet/sys/modules/em/Makefile projects/vmxnet/sys/modules/ext2fs/Makefile projects/vmxnet/sys/modules/hpt27xx/Makefile projects/vmxnet/sys/modules/igb/Makefile projects/vmxnet/sys/modules/iwn/Makefile projects/vmxnet/sys/modules/nvme/Makefile projects/vmxnet/sys/modules/usb/Makefile projects/vmxnet/sys/modules/wi/Makefile projects/vmxnet/sys/net/ieee8023ad_lacp.c projects/vmxnet/sys/net/ieee8023ad_lacp.h projects/vmxnet/sys/net/if.c projects/vmxnet/sys/net/if_arp.h projects/vmxnet/sys/net/if_bridge.c projects/vmxnet/sys/net/if_ethersubr.c projects/vmxnet/sys/net/if_gif.c projects/vmxnet/sys/net/if_lagg.c projects/vmxnet/sys/net/if_lagg.h projects/vmxnet/sys/net/if_var.h projects/vmxnet/sys/net/rtsock.c projects/vmxnet/sys/net/vnet.h projects/vmxnet/sys/net80211/ieee80211.h projects/vmxnet/sys/net80211/ieee80211_amrr.c projects/vmxnet/sys/net80211/ieee80211_mesh.c projects/vmxnet/sys/net80211/ieee80211_output.c projects/vmxnet/sys/net80211/ieee80211_phy.c projects/vmxnet/sys/net80211/ieee80211_phy.h projects/vmxnet/sys/net80211/ieee80211_proto.h projects/vmxnet/sys/netgraph/bluetooth/socket/ng_btsocket.c projects/vmxnet/sys/netgraph/ng_base.c projects/vmxnet/sys/netgraph/ng_pppoe.c projects/vmxnet/sys/netgraph/ng_pppoe.h projects/vmxnet/sys/netinet/icmp6.h projects/vmxnet/sys/netinet/icmp_var.h projects/vmxnet/sys/netinet/if_ether.c projects/vmxnet/sys/netinet/in.c projects/vmxnet/sys/netinet/in_pcb.c projects/vmxnet/sys/netinet/in_pcb.h projects/vmxnet/sys/netinet/ip_carp.c projects/vmxnet/sys/netinet/ip_carp.h projects/vmxnet/sys/netinet/ip_icmp.c projects/vmxnet/sys/netinet/ip_input.c projects/vmxnet/sys/netinet/ip_mroute.c projects/vmxnet/sys/netinet/ip_mroute.h projects/vmxnet/sys/netinet/ip_output.c projects/vmxnet/sys/netinet/ip_var.h projects/vmxnet/sys/netinet/pim_var.h projects/vmxnet/sys/netinet/sctp_asconf.c projects/vmxnet/sys/netinet/sctp_constants.h projects/vmxnet/sys/netinet/sctp_input.c projects/vmxnet/sys/netinet/sctp_output.c projects/vmxnet/sys/netinet/sctp_pcb.c projects/vmxnet/sys/netinet/sctp_sysctl.c projects/vmxnet/sys/netinet/sctp_sysctl.h projects/vmxnet/sys/netinet/sctp_usrreq.c projects/vmxnet/sys/netinet/tcp_input.c projects/vmxnet/sys/netinet/tcp_syncache.c projects/vmxnet/sys/netinet/tcp_syncache.h projects/vmxnet/sys/netinet/tcp_var.h projects/vmxnet/sys/netinet/udp_usrreq.c projects/vmxnet/sys/netinet/udp_var.h projects/vmxnet/sys/netinet6/icmp6.c projects/vmxnet/sys/netinet6/in6.c projects/vmxnet/sys/netinet6/in6_ifattach.c projects/vmxnet/sys/netinet6/in6_pcb.c projects/vmxnet/sys/netinet6/in6_proto.c projects/vmxnet/sys/netinet6/in6_var.h projects/vmxnet/sys/netinet6/ip6_forward.c projects/vmxnet/sys/netinet6/ip6_input.c projects/vmxnet/sys/netinet6/ip6_mroute.h projects/vmxnet/sys/netinet6/ip6_output.c projects/vmxnet/sys/netinet6/ip6_var.h projects/vmxnet/sys/netinet6/nd6.c projects/vmxnet/sys/netinet6/pim6_var.h projects/vmxnet/sys/netinet6/raw_ip6.c projects/vmxnet/sys/netinet6/raw_ip6.h projects/vmxnet/sys/netinet6/udp6_usrreq.c projects/vmxnet/sys/netipsec/ah_var.h projects/vmxnet/sys/netipsec/esp_var.h projects/vmxnet/sys/netipsec/ipcomp_var.h projects/vmxnet/sys/netipsec/ipip_var.h projects/vmxnet/sys/netipsec/ipsec.c projects/vmxnet/sys/netipsec/ipsec.h projects/vmxnet/sys/netipsec/ipsec6.h projects/vmxnet/sys/netipsec/keysock.c projects/vmxnet/sys/netipsec/keysock.h projects/vmxnet/sys/netipsec/xform_ah.c projects/vmxnet/sys/netipsec/xform_esp.c projects/vmxnet/sys/netipsec/xform_ipcomp.c projects/vmxnet/sys/netipsec/xform_ipip.c projects/vmxnet/sys/netpfil/pf/if_pfsync.c projects/vmxnet/sys/nfs/bootp_subr.c projects/vmxnet/sys/ofed/drivers/net/mlx4/en_netdev.c projects/vmxnet/sys/ofed/drivers/net/mlx4/en_tx.c projects/vmxnet/sys/ofed/drivers/net/mlx4/main.c projects/vmxnet/sys/ofed/include/linux/module.h projects/vmxnet/sys/ofed/include/linux/sysfs.h projects/vmxnet/sys/powerpc/aim/mmu_oea64.c projects/vmxnet/sys/powerpc/aim/vm_machdep.c projects/vmxnet/sys/powerpc/booke/vm_machdep.c projects/vmxnet/sys/powerpc/include/spr.h projects/vmxnet/sys/powerpc/ofw/ofw_real.c projects/vmxnet/sys/powerpc/powermac/macio.c projects/vmxnet/sys/powerpc/powermac/maciovar.h projects/vmxnet/sys/powerpc/powerpc/uio_machdep.c projects/vmxnet/sys/rpc/rpcsec_gss.h projects/vmxnet/sys/rpc/rpcsec_gss/rpcsec_gss.c projects/vmxnet/sys/security/audit/audit.c projects/vmxnet/sys/sparc64/include/pmap.h projects/vmxnet/sys/sparc64/include/ucontext.h projects/vmxnet/sys/sparc64/sparc64/machdep.c projects/vmxnet/sys/sparc64/sparc64/pmap.c projects/vmxnet/sys/sparc64/sparc64/uio_machdep.c projects/vmxnet/sys/sparc64/sparc64/vm_machdep.c projects/vmxnet/sys/sys/counter.h projects/vmxnet/sys/sys/elf_common.h projects/vmxnet/sys/sys/eventhandler.h projects/vmxnet/sys/sys/kernel.h projects/vmxnet/sys/sys/libkern.h projects/vmxnet/sys/sys/mbuf.h projects/vmxnet/sys/sys/param.h projects/vmxnet/sys/sys/priv.h projects/vmxnet/sys/sys/sched.h projects/vmxnet/sys/sys/sdt.h projects/vmxnet/sys/sys/sf_buf.h projects/vmxnet/sys/sys/syscallsubr.h projects/vmxnet/sys/sys/systm.h projects/vmxnet/sys/sys/uuid.h projects/vmxnet/sys/sys/vnode.h projects/vmxnet/sys/ufs/ffs/ffs_alloc.c projects/vmxnet/sys/ufs/ffs/ffs_snapshot.c projects/vmxnet/sys/ufs/ffs/ffs_suspend.c projects/vmxnet/sys/ufs/ffs/ffs_vfsops.c projects/vmxnet/sys/ufs/ufs/inode.h projects/vmxnet/sys/vm/swap_pager.c projects/vmxnet/sys/vm/uma_core.c projects/vmxnet/sys/vm/uma_int.h projects/vmxnet/sys/vm/vm.h projects/vmxnet/sys/vm/vm_extern.h projects/vmxnet/sys/vm/vm_fault.c projects/vmxnet/sys/vm/vm_glue.c projects/vmxnet/sys/vm/vm_map.c projects/vmxnet/sys/vm/vm_map.h projects/vmxnet/sys/vm/vm_mmap.c projects/vmxnet/sys/vm/vm_object.c projects/vmxnet/sys/vm/vm_object.h projects/vmxnet/sys/vm/vm_page.c projects/vmxnet/sys/vm/vm_pageout.c projects/vmxnet/sys/vm/vm_param.h projects/vmxnet/sys/vm/vnode_pager.c projects/vmxnet/sys/x86/include/specialreg.h projects/vmxnet/tools/build/mk/OptionalObsoleteFiles.inc projects/vmxnet/tools/build/options/makeman projects/vmxnet/tools/regression/aio/aiop/aiop.c projects/vmxnet/tools/regression/aio/aiotest/aiotest.c projects/vmxnet/tools/regression/lib/libc/gen/test-fpclassify.c projects/vmxnet/tools/regression/lib/libc/gen/test-ftw.c projects/vmxnet/tools/regression/lib/libc/gen/test-wordexp.c projects/vmxnet/tools/regression/priv/Makefile projects/vmxnet/tools/regression/pthread/cv_cancel1/cv_cancel1.c projects/vmxnet/tools/regression/sbin/dhclient/Makefile projects/vmxnet/tools/tools/crypto/ipsecstats.c projects/vmxnet/tools/tools/cxgbetool/cxgbetool.c projects/vmxnet/tools/tools/sysdoc/tunables.mdoc projects/vmxnet/tools/tools/zfsboottest/Makefile projects/vmxnet/tools/tools/zfsboottest/zfsboottest.c projects/vmxnet/tools/tools/zfsboottest/zfsboottest.sh projects/vmxnet/usr.bin/bmake/Makefile projects/vmxnet/usr.bin/bmake/config.h projects/vmxnet/usr.bin/bmake/unit-tests/Makefile projects/vmxnet/usr.bin/dtc/fdt.cc projects/vmxnet/usr.bin/fetch/fetch.1 projects/vmxnet/usr.bin/fetch/fetch.c projects/vmxnet/usr.bin/find/function.c projects/vmxnet/usr.bin/grep/regex/tre-fastmatch.c projects/vmxnet/usr.bin/kdump/kdump.c projects/vmxnet/usr.bin/netstat/inet.c projects/vmxnet/usr.bin/netstat/inet6.c projects/vmxnet/usr.bin/netstat/ipsec.c projects/vmxnet/usr.bin/netstat/main.c projects/vmxnet/usr.bin/netstat/mbuf.c projects/vmxnet/usr.bin/netstat/mroute.c projects/vmxnet/usr.bin/netstat/netstat.1 projects/vmxnet/usr.bin/netstat/netstat.h projects/vmxnet/usr.bin/netstat/pfkey.c projects/vmxnet/usr.bin/netstat/route.c projects/vmxnet/usr.bin/patch/Makefile projects/vmxnet/usr.bin/patch/patch.c projects/vmxnet/usr.bin/script/script.1 projects/vmxnet/usr.bin/svn/lib/libapr_util/Makefile projects/vmxnet/usr.bin/svn/lib/libapr_util/apu.h projects/vmxnet/usr.bin/svn/lib/libserf/Makefile projects/vmxnet/usr.bin/svn/svn_private_config.h projects/vmxnet/usr.bin/systat/Makefile projects/vmxnet/usr.bin/systat/cmdtab.c projects/vmxnet/usr.bin/systat/extern.h projects/vmxnet/usr.bin/systat/systat.1 projects/vmxnet/usr.bin/truss/syscall.h projects/vmxnet/usr.bin/truss/syscalls.c projects/vmxnet/usr.bin/uniq/uniq.c projects/vmxnet/usr.sbin/Makefile projects/vmxnet/usr.sbin/authpf/Makefile projects/vmxnet/usr.sbin/bhyve/Makefile projects/vmxnet/usr.sbin/bhyve/bhyverun.c projects/vmxnet/usr.sbin/bhyve/pci_virtio_block.c projects/vmxnet/usr.sbin/bhyve/pci_virtio_net.c projects/vmxnet/usr.sbin/bhyve/rtc.c projects/vmxnet/usr.sbin/bhyve/virtio.h projects/vmxnet/usr.sbin/bsdconfig/USAGE projects/vmxnet/usr.sbin/bsdconfig/bsdconfig projects/vmxnet/usr.sbin/bsdconfig/bsdconfig.8 projects/vmxnet/usr.sbin/bsdconfig/console/USAGE projects/vmxnet/usr.sbin/bsdconfig/console/console projects/vmxnet/usr.sbin/bsdconfig/console/font projects/vmxnet/usr.sbin/bsdconfig/console/keymap projects/vmxnet/usr.sbin/bsdconfig/console/repeat projects/vmxnet/usr.sbin/bsdconfig/console/saver projects/vmxnet/usr.sbin/bsdconfig/console/screenmap projects/vmxnet/usr.sbin/bsdconfig/console/ttys projects/vmxnet/usr.sbin/bsdconfig/diskmgmt/USAGE projects/vmxnet/usr.sbin/bsdconfig/diskmgmt/diskmgmt projects/vmxnet/usr.sbin/bsdconfig/docsinstall/USAGE projects/vmxnet/usr.sbin/bsdconfig/docsinstall/docsinstall projects/vmxnet/usr.sbin/bsdconfig/dot/USAGE projects/vmxnet/usr.sbin/bsdconfig/dot/dot projects/vmxnet/usr.sbin/bsdconfig/examples/Makefile projects/vmxnet/usr.sbin/bsdconfig/include/messages.subr projects/vmxnet/usr.sbin/bsdconfig/mouse/USAGE projects/vmxnet/usr.sbin/bsdconfig/mouse/disable projects/vmxnet/usr.sbin/bsdconfig/mouse/enable projects/vmxnet/usr.sbin/bsdconfig/mouse/flags projects/vmxnet/usr.sbin/bsdconfig/mouse/mouse projects/vmxnet/usr.sbin/bsdconfig/mouse/port projects/vmxnet/usr.sbin/bsdconfig/mouse/type projects/vmxnet/usr.sbin/bsdconfig/networking/USAGE projects/vmxnet/usr.sbin/bsdconfig/networking/defaultrouter projects/vmxnet/usr.sbin/bsdconfig/networking/devices projects/vmxnet/usr.sbin/bsdconfig/networking/hostname projects/vmxnet/usr.sbin/bsdconfig/networking/include/messages.subr projects/vmxnet/usr.sbin/bsdconfig/networking/nameservers projects/vmxnet/usr.sbin/bsdconfig/networking/networking projects/vmxnet/usr.sbin/bsdconfig/networking/share/Makefile projects/vmxnet/usr.sbin/bsdconfig/networking/share/common.subr projects/vmxnet/usr.sbin/bsdconfig/networking/share/device.subr projects/vmxnet/usr.sbin/bsdconfig/networking/share/hostname.subr projects/vmxnet/usr.sbin/bsdconfig/networking/share/ipaddr.subr projects/vmxnet/usr.sbin/bsdconfig/networking/share/media.subr projects/vmxnet/usr.sbin/bsdconfig/networking/share/netmask.subr projects/vmxnet/usr.sbin/bsdconfig/networking/share/resolv.subr projects/vmxnet/usr.sbin/bsdconfig/networking/share/routing.subr projects/vmxnet/usr.sbin/bsdconfig/packages/USAGE projects/vmxnet/usr.sbin/bsdconfig/packages/packages projects/vmxnet/usr.sbin/bsdconfig/password/USAGE projects/vmxnet/usr.sbin/bsdconfig/password/password projects/vmxnet/usr.sbin/bsdconfig/password/share/password.subr projects/vmxnet/usr.sbin/bsdconfig/security/USAGE projects/vmxnet/usr.sbin/bsdconfig/security/kern_securelevel projects/vmxnet/usr.sbin/bsdconfig/security/security projects/vmxnet/usr.sbin/bsdconfig/share/common.subr projects/vmxnet/usr.sbin/bsdconfig/share/device.subr projects/vmxnet/usr.sbin/bsdconfig/share/dialog.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/any.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/cdrom.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/common.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/directory.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/dos.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/floppy.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/ftp.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/http.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/httpproxy.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/network.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/nfs.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/options.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/tcpip.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/ufs.subr projects/vmxnet/usr.sbin/bsdconfig/share/media/usb.subr projects/vmxnet/usr.sbin/bsdconfig/share/mustberoot.subr projects/vmxnet/usr.sbin/bsdconfig/share/packages/categories.subr projects/vmxnet/usr.sbin/bsdconfig/share/packages/index.subr projects/vmxnet/usr.sbin/bsdconfig/share/packages/packages.subr projects/vmxnet/usr.sbin/bsdconfig/share/script.subr projects/vmxnet/usr.sbin/bsdconfig/share/strings.subr projects/vmxnet/usr.sbin/bsdconfig/share/struct.subr projects/vmxnet/usr.sbin/bsdconfig/share/sysrc.subr projects/vmxnet/usr.sbin/bsdconfig/share/variable.subr projects/vmxnet/usr.sbin/bsdconfig/startup/USAGE projects/vmxnet/usr.sbin/bsdconfig/startup/misc projects/vmxnet/usr.sbin/bsdconfig/startup/rcadd projects/vmxnet/usr.sbin/bsdconfig/startup/rcconf projects/vmxnet/usr.sbin/bsdconfig/startup/rcdelete projects/vmxnet/usr.sbin/bsdconfig/startup/rcvar projects/vmxnet/usr.sbin/bsdconfig/startup/share/rcconf.subr projects/vmxnet/usr.sbin/bsdconfig/startup/share/rcvar.subr projects/vmxnet/usr.sbin/bsdconfig/startup/startup projects/vmxnet/usr.sbin/bsdconfig/timezone/USAGE projects/vmxnet/usr.sbin/bsdconfig/timezone/share/continents.subr projects/vmxnet/usr.sbin/bsdconfig/timezone/share/countries.subr projects/vmxnet/usr.sbin/bsdconfig/timezone/share/iso3166.subr projects/vmxnet/usr.sbin/bsdconfig/timezone/share/menus.subr projects/vmxnet/usr.sbin/bsdconfig/timezone/share/zones.subr projects/vmxnet/usr.sbin/bsdconfig/timezone/timezone projects/vmxnet/usr.sbin/bsdconfig/ttys/USAGE projects/vmxnet/usr.sbin/bsdconfig/ttys/ttys projects/vmxnet/usr.sbin/bsdconfig/usermgmt/USAGE projects/vmxnet/usr.sbin/bsdconfig/usermgmt/groupinput projects/vmxnet/usr.sbin/bsdconfig/usermgmt/share/group_input.subr projects/vmxnet/usr.sbin/bsdconfig/usermgmt/share/user_input.subr projects/vmxnet/usr.sbin/bsdconfig/usermgmt/userinput projects/vmxnet/usr.sbin/bsdinstall/scripts/mirrorselect projects/vmxnet/usr.sbin/gssd/gssd.8 projects/vmxnet/usr.sbin/gssd/gssd.c projects/vmxnet/usr.sbin/makefs/cd9660.c projects/vmxnet/usr.sbin/makefs/cd9660/iso9660_rrip.c projects/vmxnet/usr.sbin/makefs/ffs/ufs_bswap.h projects/vmxnet/usr.sbin/nvram/nvram.c projects/vmxnet/usr.sbin/pciconf/cap.c projects/vmxnet/usr.sbin/pkg/config.c projects/vmxnet/usr.sbin/portsnap/portsnap/portsnap.sh projects/vmxnet/usr.sbin/powerd/powerd.c projects/vmxnet/usr.sbin/ppp/defs.c projects/vmxnet/usr.sbin/ppp/defs.h projects/vmxnet/usr.sbin/rtadvd/config.c projects/vmxnet/usr.sbin/rtadvd/rtadvd.c projects/vmxnet/usr.sbin/rtsold/rtsol.c projects/vmxnet/usr.sbin/sysrc/sysrc projects/vmxnet/usr.sbin/sysrc/sysrc.8 projects/vmxnet/usr.sbin/watchdogd/watchdogd.8 projects/vmxnet/usr.sbin/watchdogd/watchdogd.c projects/vmxnet/usr.sbin/wpa/Makefile.crypto projects/vmxnet/usr.sbin/wpa/Makefile.inc projects/vmxnet/usr.sbin/wpa/hostapd/Makefile projects/vmxnet/usr.sbin/wpa/hostapd_cli/Makefile projects/vmxnet/usr.sbin/wpa/wpa_cli/Makefile projects/vmxnet/usr.sbin/wpa/wpa_passphrase/Makefile projects/vmxnet/usr.sbin/wpa/wpa_supplicant/Makefile projects/vmxnet/usr.sbin/ypserv/yp_access.c projects/vmxnet/usr.sbin/ypserv/yp_dnslookup.c projects/vmxnet/usr.sbin/ypserv/yp_main.c Directory Properties: projects/vmxnet/ (props changed) projects/vmxnet/cddl/ (props changed) projects/vmxnet/cddl/contrib/opensolaris/ (props changed) projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/ (props changed) projects/vmxnet/cddl/contrib/opensolaris/cmd/zfs/ (props changed) projects/vmxnet/cddl/contrib/opensolaris/lib/libzfs/ (props changed) projects/vmxnet/contrib/apr/ (props changed) projects/vmxnet/contrib/apr-util/ (props changed) projects/vmxnet/contrib/bind9/ (props changed) projects/vmxnet/contrib/binutils/ (props changed) projects/vmxnet/contrib/bmake/ (props changed) projects/vmxnet/contrib/ipfilter/ (props changed) projects/vmxnet/contrib/libc++/ (props changed) projects/vmxnet/contrib/libcxxrt/ (props changed) projects/vmxnet/contrib/libstdc++/ (props changed) projects/vmxnet/contrib/llvm/ (props changed) projects/vmxnet/contrib/llvm/tools/clang/ (props changed) projects/vmxnet/contrib/openbsm/ (props changed) projects/vmxnet/contrib/serf/ (props changed) projects/vmxnet/contrib/subversion/ (props changed) projects/vmxnet/contrib/tcpdump/ (props changed) projects/vmxnet/contrib/tzdata/ (props changed) projects/vmxnet/contrib/wpa/ (props changed) projects/vmxnet/contrib/wpa/hostapd/eap_testing.txt (props changed) projects/vmxnet/contrib/wpa/hostapd/hostapd.8 (props changed) projects/vmxnet/contrib/wpa/hostapd/hostapd_cli.1 (props changed) projects/vmxnet/contrib/wpa/hostapd/logwatch/hostapd.conf (props changed) projects/vmxnet/contrib/wpa/hostapd/wired.conf (props changed) projects/vmxnet/contrib/wpa/src/ap/vlan_init.h (props changed) projects/vmxnet/contrib/wpa/src/ap/wmm.h (props changed) projects/vmxnet/contrib/wpa/src/utils/radiotap.c (props changed) projects/vmxnet/contrib/wpa/src/wps/http.h (props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/dbus/dbus-wpa_supplicant.conf (props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-getall.py (props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-wps.py (props changed) projects/vmxnet/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new.py (props changed) projects/vmxnet/lib/libc/ (props changed) projects/vmxnet/sbin/ (props changed) projects/vmxnet/sbin/ipfw/ (props changed) projects/vmxnet/share/man/man4/ (props changed) projects/vmxnet/sys/ (props changed) projects/vmxnet/sys/amd64/vmm/ (props changed) projects/vmxnet/sys/boot/ (props changed) projects/vmxnet/sys/cddl/contrib/opensolaris/ (props changed) projects/vmxnet/sys/conf/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/common/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/compiler/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/debugger/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/disassembler/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/dispatcher/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/events/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/executer/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/hardware/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/namespace/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/parser/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/resources/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/tables/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/components/utilities/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/include/ (props changed) projects/vmxnet/sys/contrib/dev/acpica/os_specific/ (props changed) projects/vmxnet/sys/contrib/ipfilter/ (props changed) projects/vmxnet/sys/dev/usb/serial/uark.c (props changed) projects/vmxnet/usr.sbin/bhyve/ (props changed) projects/vmxnet/usr.sbin/rtadvd/ (props changed) projects/vmxnet/usr.sbin/rtsold/ (props changed) Modified: projects/vmxnet/MAINTAINERS ============================================================================== --- projects/vmxnet/MAINTAINERS Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/MAINTAINERS Sun Aug 4 23:22:10 2013 (r253947) @@ -128,3 +128,6 @@ sysdoc trhodes Pre-commit review prefe sh(1) jilles Pre-commit review requested. This also applies to kill(1), printf(1) and test(1) which are compiled in as builtins. +nvme(4) jimharris Pre-commit review requested. +nvd(4) jimharris Pre-commit review requested. +nvmecontrol(8) jimharris Pre-commit review requested. Modified: projects/vmxnet/Makefile ============================================================================== --- projects/vmxnet/Makefile Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/Makefile Sun Aug 4 23:22:10 2013 (r253947) @@ -32,6 +32,12 @@ # targets - Print a list of supported TARGET/TARGET_ARCH pairs # for world and kernel targets. # toolchains - Build a toolchain for all world and kernel targets. +# +# "quick" way to test all kernel builds: +# _jflag=`sysctl -n hw.ncpu` +# _jflag=$(($_jflag * 2)) +# [ $_jflag -gt 12 ] && _jflag=12 +# make universe -DMAKE_JUST_KERNELS JFLAG=-j${_jflag} # # This makefile is simple by design. The FreeBSD make automatically reads # the /usr/share/mk/sys.mk unless the -m argument is specified on the @@ -124,11 +130,34 @@ _MAKEOBJDIRPREFIX!= /usr/bin/env -i PATH .error MAKEOBJDIRPREFIX can only be set in environment, not as a global\ (in make.conf(5)) or command-line variable. .endif -MAKEPATH= ${MAKEOBJDIRPREFIX}${.CURDIR}/make.${MACHINE} -BINMAKE= \ - `if [ -x ${MAKEPATH}/make ]; then echo ${MAKEPATH}/make; else echo ${MAKE}; fi` \ + +# We often need to use the tree's version of make to build it. +# Choices add to complexity though. +# We cannot blindly use a make which may not be the one we want +# so be exlicit - until all choice is removed. +.if !defined(WITHOUT_BMAKE) +WANT_MAKE= bmake +.else +WANT_MAKE= fmake +.endif +MYMAKE= ${MAKEOBJDIRPREFIX}${.CURDIR}/make.${MACHINE}/${WANT_MAKE} +.if defined(.PARSEDIR) +HAVE_MAKE= bmake +.else +HAVE_MAKE= fmake +.endif +.if exists(${MYMAKE}) +SUB_MAKE:= ${MYMAKE} -m ${.CURDIR}/share/mk +.elif ${WANT_MAKE} != ${HAVE_MAKE} || ${WANT_MAKE} != "bmake" +# It may not exist yet but we may cause it to. +# In the case of fmake, upgrade_checks may cause a newer version to be built. +SUB_MAKE= `test -x ${MYMAKE} && echo ${MYMAKE} || echo ${MAKE}` \ -m ${.CURDIR}/share/mk -_MAKE= PATH=${PATH} ${BINMAKE} -f Makefile.inc1 TARGET=${_TARGET} TARGET_ARCH=${_TARGET_ARCH} +.else +SUB_MAKE= ${MAKE} -m ${.CURDIR}/share/mk +.endif + +_MAKE= PATH=${PATH} ${SUB_MAKE} -f Makefile.inc1 TARGET=${_TARGET} TARGET_ARCH=${_TARGET_ARCH} # Guess machine architecture from machine type, and vice versa. .if !defined(TARGET_ARCH) && defined(TARGET) @@ -285,11 +314,13 @@ kernel: buildkernel installkernel # Perform a few tests to determine if the installed tools are adequate # for building the world. # +# Note: if we ever need to care about the version of bmake, simply testing +# MAKE_VERSION against a required version should suffice. +# upgrade_checks: -.if !defined(.PARSEDIR) -.if !defined(WITHOUT_BMAKE) - (cd ${.CURDIR} && ${MAKE} bmake) -.else +.if ${HAVE_MAKE} != ${WANT_MAKE} + @(cd ${.CURDIR} && ${MAKE} ${WANT_MAKE:S,^f,,}) +.elif ${WANT_MAKE} == "fmake" @if ! (cd ${.CURDIR}/tools/build/make_check && \ PATH=${PATH} ${BINMAKE} obj >/dev/null 2>&1 && \ PATH=${PATH} ${BINMAKE} >/dev/null 2>&1); \ @@ -297,14 +328,13 @@ upgrade_checks: (cd ${.CURDIR} && ${MAKE} make); \ fi .endif -.endif # # Upgrade make(1) to the current version using the installed # headers, libraries and tools. Also, allow the location of # the system bsdmake-like utility to be overridden. # -MMAKEENV= MAKEOBJDIRPREFIX=${MAKEPATH} \ +MMAKEENV= MAKEOBJDIRPREFIX=${MYMAKE:H} \ DESTDIR= \ INSTALL="sh ${.CURDIR}/tools/install.sh" MMAKE= ${MMAKEENV} ${MAKE} \ @@ -321,7 +351,7 @@ make bmake: .PHONY ${MMAKE} obj && \ ${MMAKE} depend && \ ${MMAKE} all && \ - ${MMAKE} install DESTDIR=${MAKEPATH} BINDIR= + ${MMAKE} install DESTDIR=${MYMAKE:H} BINDIR= PROGNAME=${MYMAKE:T} tinderbox: @cd ${.CURDIR} && ${MAKE} DOING_TINDERBOX=YES universe @@ -371,7 +401,8 @@ MAKEFAIL=tee -a ${FAILFILE} MAKEFAIL=cat .endif -universe: universe_prologue upgrade_checks +universe_prologue: upgrade_checks +universe: universe_prologue universe_prologue: @echo "--------------------------------------------------------------" @echo ">>> make universe started on ${STARTTIME}" @@ -381,9 +412,9 @@ universe_prologue: .endif .for target in ${TARGETS} universe: universe_${target} -.ORDER: universe_prologue upgrade_checks universe_${target} universe_epilogue +universe_epilogue: universe_${target} universe_${target}: universe_${target}_prologue -universe_${target}_prologue: +universe_${target}_prologue: universe_prologue @echo ">> ${target} started on `LC_ALL=C date`" .if !defined(MAKE_JUST_KERNELS) .for target_arch in ${TARGET_ARCHES_${target}} @@ -391,7 +422,7 @@ universe_${target}: universe_${target}_$ universe_${target}_${target_arch}: universe_${target}_prologue @echo ">> ${target}.${target_arch} ${UNIVERSE_TARGET} started on `LC_ALL=C date`" @(cd ${.CURDIR} && env __MAKE_CONF=/dev/null \ - ${MAKE} ${JFLAG} ${UNIVERSE_TARGET} \ + ${SUB_MAKE} ${JFLAG} ${UNIVERSE_TARGET} \ TARGET=${target} \ TARGET_ARCH=${target_arch} \ > _.${target}.${target_arch}.${UNIVERSE_TARGET} 2>&1 || \ @@ -412,11 +443,11 @@ universe_${target}: universe_${target}_k universe_${target}_kernels: universe_${target}_prologue .if exists(${KERNSRCDIR}/${target}/conf/NOTES) @(cd ${KERNSRCDIR}/${target}/conf && env __MAKE_CONF=/dev/null \ - ${MAKE} LINT > ${.CURDIR}/_.${target}.makeLINT 2>&1 || \ + ${SUB_MAKE} LINT > ${.CURDIR}/_.${target}.makeLINT 2>&1 || \ (echo "${target} 'make LINT' failed," \ "check _.${target}.makeLINT for details"| ${MAKEFAIL})) .endif - @cd ${.CURDIR} && ${MAKE} ${.MAKEFLAGS} TARGET=${target} \ + @cd ${.CURDIR} && ${SUB_MAKE} ${.MAKEFLAGS} TARGET=${target} \ universe_kernels .endif @echo ">> ${target} completed on `LC_ALL=C date`" @@ -439,7 +470,7 @@ TARGET_ARCH_${kernel}!= cd ${KERNSRCDIR} universe_kernconfs: universe_kernconf_${TARGET}_${kernel} universe_kernconf_${TARGET}_${kernel}: @(cd ${.CURDIR} && env __MAKE_CONF=/dev/null \ - ${MAKE} ${JFLAG} buildkernel \ + ${SUB_MAKE} ${JFLAG} buildkernel \ TARGET=${TARGET} \ TARGET_ARCH=${TARGET_ARCH_${kernel}} \ KERNCONF=${kernel} \ Modified: projects/vmxnet/Makefile.inc1 ============================================================================== --- projects/vmxnet/Makefile.inc1 Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/Makefile.inc1 Sun Aug 4 23:22:10 2013 (r253947) @@ -833,6 +833,18 @@ distributeworld installworld: installche awk 'BEGIN { print "#${MTREE_MAGIC}" } !/ type=/ { file = $$1 } / type=/ { if ($$1 == file) { sub(/^\.\/${dist}\//, "./"); print } }' > \ ${DESTDIR}/${DISTDIR}/${dist}.meta .endfor +.if ${MK_DEBUG_FILES} != "no" +. for dist in base ${EXTRA_DISTRIBUTIONS} + @# For each file that exists in this dist, print the corresponding + @# line from the METALOG. This relies on the fact that + @# a line containing only the filename will sort immediatly before + @# the relevant mtree line. + cd ${DESTDIR}/${DISTDIR}; \ + find ./${dist}/usr/lib/debug | sort -u ${METALOG} - | \ + awk 'BEGIN { print "#${MTREE_MAGIC}" } !/ type=/ { file = $$1 } / type=/ { if ($$1 == file) { sub(/^\.\/${dist}\//, "./"); print } }' > \ + ${DESTDIR}/${DISTDIR}/${dist}.debug.meta +. endfor +.endif .endif .endif @@ -841,13 +853,29 @@ packageworld: .if defined(NO_ROOT) ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz \ + --exclude usr/lib/debug \ @${DESTDIR}/${DISTDIR}/${dist}.meta .else ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ - tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz . + tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz \ + --exclude usr/lib/debug . .endif .endfor +.if ${MK_DEBUG_FILES} != "no" +. for dist in base ${EXTRA_DISTRIBUTIONS} +. if defined(NO_ROOT) + ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ + tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.debug.txz \ + @${DESTDIR}/${DISTDIR}/${dist}.debug.meta +. else + ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ + tar cvJfL ${DESTDIR}/${DISTDIR}/${dist}.debug.txz \ + usr/lib/debug +. endif +. endfor +.endif + # # reinstall # @@ -1237,7 +1265,7 @@ _kerberos5_bootstrap_tools= \ # Please document (add comment) why something is in 'bootstrap-tools'. # Try to bound the building of the bootstrap-tool to just the # FreeBSD versions that need the tool built at this stage of the build. -bootstrap-tools: +bootstrap-tools: .MAKE .for _tool in \ ${_clang_tblgen} \ ${_kerberos5_bootstrap_tools} \ @@ -1290,7 +1318,7 @@ _gcc_tools= gnu/usr.bin/cc/cc_tools _rescue= rescue/rescue .endif -build-tools: +build-tools: .MAKE .for _tool in \ bin/csh \ bin/sh \ @@ -1352,7 +1380,7 @@ _cc= gnu/usr.bin/cc .endif .endif -cross-tools: +cross-tools: .MAKE .for _tool in \ ${_clang_libs} \ ${_clang} \ @@ -1383,12 +1411,12 @@ hierarchy hier: # interdependencies (__L) are built automatically by the # ${.CURDIR}/tools/make_libdeps.sh script. # -libraries: - cd ${.CURDIR}; \ - ${MAKE} -f Makefile.inc1 _prereq_libs; \ - ${MAKE} -f Makefile.inc1 _startup_libs; \ - ${MAKE} -f Makefile.inc1 _prebuild_libs; \ - ${MAKE} -f Makefile.inc1 _generic_libs; +libraries: .MAKE + cd ${.CURDIR} && \ + ${MAKE} -f Makefile.inc1 _prereq_libs && \ + ${MAKE} -f Makefile.inc1 _startup_libs && \ + ${MAKE} -f Makefile.inc1 _prebuild_libs && \ + ${MAKE} -f Makefile.inc1 _generic_libs # # static libgcc.a prerequisite for shared libc @@ -1542,7 +1570,7 @@ lib/libradius__L: lib/libmd__L .endif .for _lib in ${_prereq_libs} -${_lib}__PL: .PHONY +${_lib}__PL: .PHONY .MAKE .if exists(${.CURDIR}/${_lib}) ${_+_}@${ECHODIR} "===> ${_lib} (obj,depend,all,install)"; \ cd ${.CURDIR}/${_lib} && \ @@ -1554,7 +1582,7 @@ ${_lib}__PL: .PHONY .endfor .for _lib in ${_startup_libs} ${_prebuild_libs:Nlib/libpam} ${_generic_libs} -${_lib}__L: .PHONY +${_lib}__L: .PHONY .MAKE .if exists(${.CURDIR}/${_lib}) ${_+_}@${ECHODIR} "===> ${_lib} (obj,depend,all,install)"; \ cd ${.CURDIR}/${_lib} && \ @@ -1568,7 +1596,7 @@ ${_lib}__L: .PHONY # libpam is special: we need to build static PAM modules before # static PAM library, and dynamic PAM library before dynamic PAM # modules. -lib/libpam__L: .PHONY +lib/libpam__L: .PHONY .MAKE ${_+_}@${ECHODIR} "===> lib/libpam (obj,depend,all,install)"; \ cd ${.CURDIR}/lib/libpam && \ ${MAKE} DIRPRFX=lib/libpam/ obj && \ @@ -1583,7 +1611,7 @@ _generic_libs: ${_generic_libs:S/$/__L/} .for __target in all clean cleandepend cleandir depend includes obj .for entry in ${SUBDIR} -${entry}.${__target}__D: .PHONY +${entry}.${__target}__D: .PHONY .MAKE ${_+_}@set -e; if test -d ${.CURDIR}/${entry}.${MACHINE_ARCH}; then \ ${ECHODIR} "===> ${DIRPRFX}${entry}.${MACHINE_ARCH} (${__target})"; \ edir=${entry}.${MACHINE_ARCH}; \ Modified: projects/vmxnet/ObsoleteFiles.inc ============================================================================== --- projects/vmxnet/ObsoleteFiles.inc Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/ObsoleteFiles.inc Sun Aug 4 23:22:10 2013 (r253947) @@ -38,6 +38,9 @@ # xargs -n1 | sort | uniq -d; # done +# 20130710: libkvm version bump +OLD_LIBS+=lib/libkvm.so.5 +OLD_LIBS+=usr/lib32/libkvm.so.5 # 20130623: dialog update from 1.1 to 1.2 OLD_LIBS+=usr/lib/libdialog.so.7 OLD_LIBS+=usr/lib32/libdialog.so.7 Modified: projects/vmxnet/UPDATING ============================================================================== --- projects/vmxnet/UPDATING Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/UPDATING Sun Aug 4 23:22:10 2013 (r253947) @@ -31,6 +31,45 @@ NOTE TO PEOPLE WHO THINK THAT FreeBSD 10 disable the most expensive debugging functionality run "ln -s 'abort:false,junk:false' /etc/malloc.conf".) +20130802: + find -delete can now delete the pathnames given as arguments, + instead of only files found below them or if the pathname did + not contain any slashes. Formerly, the following error message + would result: + + find: -delete: : relative path potentially not safe + + Deleting the pathnames given as arguments can be prevented + without error messages using -mindepth 1 or by changing + directory and passing "." as argument to find. This works in the + old as well as the new version of find. + +20130726: + Behavior of devfs rules path matching has been changed. + Pattern is now always matched against fully qualified devfs + path and slash characters must be explicitly matched by + slashes in pattern (FNM_PATHNAME). Rulesets involving devfs + subdirectories must be reviewed. + +20130716: + The default ARM ABI has changed to the ARM EABI. The old ABI is + incompatible with the ARM EABI and all programs and modules will + need to be rebuilt to work with a new kernel. + + To keep using the old ABI ensure the WITHOUT_ARM_EABI knob is set. + + NOTE: Support for the old ABI will be removed in the future and + users are advised to upgrade. + +20130709: + pkg_install has been disconnected from the build if you really need it + you should add WITH_PKGTOOLS in your src.conf(5). + +20130709: + Most of network statistics structures were changed to be able + keep 64-bits counters. Thus all tools, that work with networking + statistics, must be rebuilt (netstat(1), bsnmpd(1), etc.) + 20130629: Fix targets that run multiple make's to use && rather than ; so that subsequent steps depend on success of previous. Modified: projects/vmxnet/bin/sh/Makefile ============================================================================== --- projects/vmxnet/bin/sh/Makefile Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/Makefile Sun Aug 4 23:22:10 2013 (r253947) @@ -8,7 +8,7 @@ SHSRCS= alias.c arith_yacc.c arith_yylex histedit.c input.c jobs.c kill.c mail.c main.c memalloc.c miscbltin.c \ mystring.c options.c output.c parser.c printf.c redir.c show.c \ test.c trap.c var.c -GENSRCS= builtins.c init.c nodes.c syntax.c +GENSRCS= builtins.c nodes.c syntax.c GENHDRS= builtins.h nodes.h syntax.h token.h SRCS= ${SHSRCS} ${GENSRCS} ${GENHDRS} @@ -30,26 +30,21 @@ WFORMAT=0 ${.CURDIR}/../test \ ${.CURDIR}/../../usr.bin/printf -CLEANFILES+= mkinit mkinit.o mknodes mknodes.o \ +CLEANFILES+= mknodes mknodes.o \ mksyntax mksyntax.o CLEANFILES+= ${GENSRCS} ${GENHDRS} -build-tools: mkinit mknodes mksyntax +build-tools: mknodes mksyntax .ORDER: builtins.c builtins.h builtins.c builtins.h: mkbuiltins builtins.def sh ${.CURDIR}/mkbuiltins ${.CURDIR} -init.c: mkinit alias.c eval.c exec.c input.c jobs.c options.c parser.c \ - redir.c trap.c var.c - ./mkinit ${.ALLSRC:S/^mkinit$//} - # XXX this is just to stop the default .c rule being used, so that the # intermediate object has a fixed name. # XXX we have a default .c rule, but no default .o rule. .o: ${CC} ${CFLAGS} ${LDFLAGS} ${.IMPSRC} ${LDLIBS} -o ${.TARGET} -mkinit: mkinit.o mknodes: mknodes.o mksyntax: mksyntax.o Modified: projects/vmxnet/bin/sh/TOUR ============================================================================== --- projects/vmxnet/bin/sh/TOUR Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/TOUR Sun Aug 4 23:22:10 2013 (r253947) @@ -25,38 +25,11 @@ programs is: program input files generates ------- ----------- --------- mkbuiltins builtins builtins.h builtins.c - mkinit *.c init.c mknodes nodetypes nodes.h nodes.c mksyntax - syntax.h syntax.c mktokens - token.h -There are undoubtedly too many of these. Mkinit searches all the -C source files for entries looking like: - - RESET { - x = 2; /* executed when the shell does a longjmp - back to the main command loop */ - } - -It pulls this code out into routines which are when particular -events occur. The intent is to improve modularity by isolating -the information about which modules need to be explicitly -initialized/reset within the modules themselves. - -Mkinit recognizes several constructs for placing declarations in -the init.c file. - INCLUDE "file.h" -includes a file. The storage class MKINIT makes a declaration -available in the init.c file, for example: - MKINIT int funcnest; /* depth of function calls */ -MKINIT alone on a line introduces a structure or union declara- -tion: - MKINIT - struct redirtab { - short renamed[10]; - }; -Preprocessor #define statements are copied to init.c without any -special action to request this. +There are undoubtedly too many of these. EXCEPTIONS: Code for dealing with exceptions appears in exceptions.c. The C language doesn't include exception handling, Modified: projects/vmxnet/bin/sh/eval.c ============================================================================== --- projects/vmxnet/bin/sh/eval.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/eval.c Sun Aug 4 23:22:10 2013 (r253947) @@ -76,7 +76,7 @@ __FBSDID("$FreeBSD$"); int evalskip; /* set if we are skipping commands */ int skipcount; /* number of levels to skip */ -MKINIT int loopnest; /* current loop nesting level */ +static int loopnest; /* current loop nesting level */ int funcnest; /* depth of function calls */ static int builtin_flags; /* evalcommand flags for builtins */ @@ -104,16 +104,13 @@ static void prehash(union node *); * Called to reset things after an exception. */ -#ifdef mkinit -INCLUDE "eval.h" - -RESET { +void +reseteval(void) +{ evalskip = 0; loopnest = 0; funcnest = 0; } -#endif - /* Modified: projects/vmxnet/bin/sh/eval.h ============================================================================== --- projects/vmxnet/bin/sh/eval.h Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/eval.h Sun Aug 4 23:22:10 2013 (r253947) @@ -46,6 +46,8 @@ struct backcmd { /* result of evalbackc struct job *jp; /* job structure for command */ }; +void reseteval(void); + /* flags in argument to evaltree/evalstring */ #define EV_EXIT 01 /* exit after evaluating tree */ #define EV_TESTED 02 /* exit status is checked; ignore -e flag */ Modified: projects/vmxnet/bin/sh/exec.c ============================================================================== --- projects/vmxnet/bin/sh/exec.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/exec.c Sun Aug 4 23:22:10 2013 (r253947) @@ -70,7 +70,6 @@ __FBSDID("$FreeBSD$"); #include "syntax.h" #include "memalloc.h" #include "error.h" -#include "init.h" #include "mystring.h" #include "show.h" #include "jobs.h" Modified: projects/vmxnet/bin/sh/input.c ============================================================================== --- projects/vmxnet/bin/sh/input.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/input.c Sun Aug 4 23:22:10 2013 (r253947) @@ -92,7 +92,7 @@ struct parsefile { int plinno = 1; /* input line number */ int parsenleft; /* copy of parsefile->nleft */ -MKINIT int parselleft; /* copy of parsefile->lleft */ +static int parselleft; /* copy of parsefile->lleft */ const char *parsenextc; /* copy of parsefile->nextc */ static char basebuf[BUFSIZ + 1];/* buffer for top level input file */ static struct parsefile basepf = { /* top level input file */ @@ -108,15 +108,12 @@ static void pushfile(void); static int preadfd(void); static void popstring(void); -#ifdef mkinit -INCLUDE "input.h" -INCLUDE "error.h" - -RESET { +void +resetinput(void) +{ popallfiles(); parselleft = parsenleft = 0; /* clear input buffer */ } -#endif /* Modified: projects/vmxnet/bin/sh/input.h ============================================================================== --- projects/vmxnet/bin/sh/input.h Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/input.h Sun Aug 4 23:22:10 2013 (r253947) @@ -47,6 +47,7 @@ extern const char *parsenextc; /* next c struct alias; struct parsefile; +void resetinput(void); char *pfgets(char *, int); int pgetc(void); int preadbuffer(void); Modified: projects/vmxnet/bin/sh/jobs.c ============================================================================== --- projects/vmxnet/bin/sh/jobs.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/jobs.c Sun Aug 4 23:22:10 2013 (r253947) @@ -77,8 +77,8 @@ __FBSDID("$FreeBSD$"); static struct job *jobtab; /* array of jobs */ static int njobs; /* size of array */ -MKINIT pid_t backgndpid = -1; /* pid of last background process */ -MKINIT struct job *bgjob = NULL; /* last background process */ +static pid_t backgndpid = -1; /* pid of last background process */ +static struct job *bgjob = NULL; /* last background process */ #if JOBS static struct job *jobmru; /* most recently used job list */ static pid_t initialpgrp; /* pgrp of shell on invocation */ @@ -116,7 +116,7 @@ static void showjob(struct job *, int); * Turn job control on and off. */ -MKINIT int jobctl; +static int jobctl; #if JOBS void Modified: projects/vmxnet/bin/sh/main.c ============================================================================== --- projects/vmxnet/bin/sh/main.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/main.c Sun Aug 4 23:22:10 2013 (r253947) @@ -68,10 +68,10 @@ __FBSDID("$FreeBSD$"); #include "show.h" #include "memalloc.h" #include "error.h" -#include "init.h" #include "mystring.h" #include "exec.h" #include "cd.h" +#include "redir.h" #include "builtins.h" int rootpid; @@ -79,6 +79,7 @@ int rootshell; struct jmploc main_handler; int localeisutf8, initial_localeisutf8; +static void reset(void); static void cmdloop(int); static void read_profile(const char *); static char *find_dot_file(char *); @@ -170,8 +171,8 @@ state3: if (minusc) { evalstring(minusc, sflag ? 0 : EV_EXIT); } +state4: if (sflag || minusc == NULL) { -state4: /* XXX ??? - why isn't this before the "if" statement */ cmdloop(1); } exitshell(exitstatus); @@ -179,6 +180,14 @@ state4: /* XXX ??? - why isn't this befo return 0; } +static void +reset(void) +{ + reseteval(); + resetinput(); + resetparser(); + resetredir(); +} /* * Read and execute commands. "Top" is nonzero for the top level command Modified: projects/vmxnet/bin/sh/output.c ============================================================================== --- projects/vmxnet/bin/sh/output.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/output.c Sun Aug 4 23:22:10 2013 (r253947) @@ -75,25 +75,6 @@ struct output memout = {NULL, 0, NULL, 0 struct output *out1 = &output; struct output *out2 = &errout; - - -#ifdef mkinit - -INCLUDE "output.h" -INCLUDE "memalloc.h" - -RESET { - out1 = &output; - out2 = &errout; - if (memout.buf != NULL) { - ckfree(memout.buf); - memout.buf = NULL; - } -} - -#endif - - void outcslow(int c, struct output *file) { Modified: projects/vmxnet/bin/sh/parser.c ============================================================================== --- projects/vmxnet/bin/sh/parser.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/parser.c Sun Aug 4 23:22:10 2013 (r253947) @@ -96,9 +96,9 @@ static struct heredoc *heredoclist; /* l static int doprompt; /* if set, prompt the user */ static int needprompt; /* true if interactive and at start of line */ static int lasttoken; /* last token read */ -MKINIT int tokpushback; /* last token pushed back */ +int tokpushback; /* last token pushed back */ static char *wordtext; /* text of last word returned by readtoken */ -MKINIT int checkkwd; /* 1 == check for kwds, 2 == also eat newlines */ +static int checkkwd; static struct nodelist *backquotelist; static union node *redirnode; static struct heredoc *heredoc; @@ -1819,13 +1819,13 @@ parsearith: { } /* end of readtoken */ - -#ifdef mkinit -RESET { +void +resetparser(void) +{ tokpushback = 0; checkkwd = 0; } -#endif + /* * Returns true if the text contains nothing to expand (no dollar signs Modified: projects/vmxnet/bin/sh/parser.h ============================================================================== --- projects/vmxnet/bin/sh/parser.h Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/parser.h Sun Aug 4 23:22:10 2013 (r253947) @@ -79,6 +79,7 @@ extern const char *const parsekwd[]; union node *parsecmd(int); void fixredir(union node *, const char *, int); +void resetparser(void); int goodname(const char *); int isassignment(const char *); char *getprompt(void *); Modified: projects/vmxnet/bin/sh/redir.c ============================================================================== --- projects/vmxnet/bin/sh/redir.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/redir.c Sun Aug 4 23:22:10 2013 (r253947) @@ -66,14 +66,13 @@ __FBSDID("$FreeBSD$"); #define CLOSED -1 /* fd was not open before redir */ -MKINIT struct redirtab { struct redirtab *next; int renamed[10]; }; -MKINIT struct redirtab *redirlist; +static struct redirtab *redirlist; /* * We keep track of whether or not fd0 has been redirected. This is for @@ -324,16 +323,13 @@ popredir(void) * Undo all redirections. Called on error or interrupt. */ -#ifdef mkinit - -INCLUDE "redir.h" - -RESET { +void +resetredir(void) +{ while (redirlist) popredir(); } -#endif /* Return true if fd 0 has already been redirected at least once. */ int Modified: projects/vmxnet/bin/sh/redir.h ============================================================================== --- projects/vmxnet/bin/sh/redir.h Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/redir.h Sun Aug 4 23:22:10 2013 (r253947) @@ -40,6 +40,7 @@ union node; void redirect(union node *, int); void popredir(void); +void resetredir(void); int fd0_redirected_p(void); void clearredir(void); Modified: projects/vmxnet/bin/sh/shell.h ============================================================================== --- projects/vmxnet/bin/sh/shell.h Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/shell.h Sun Aug 4 23:22:10 2013 (r253947) @@ -63,7 +63,6 @@ typedef intmax_t arith_t; #define ARITH_MAX INTMAX_MAX typedef void *pointer; -#define MKINIT /* empty */ #include Modified: projects/vmxnet/bin/sh/trap.c ============================================================================== --- projects/vmxnet/bin/sh/trap.c Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/bin/sh/trap.c Sun Aug 4 23:22:10 2013 (r253947) @@ -72,7 +72,7 @@ __FBSDID("$FreeBSD$"); #define S_RESET 5 /* temporary - to reset a hard ignored sig */ -MKINIT char sigmode[NSIG]; /* current value of signal */ +static char sigmode[NSIG]; /* current value of signal */ volatile sig_atomic_t pendingsig; /* indicates some signal received */ int in_dotrap; /* do we execute in a trap handler? */ static char *volatile trap[NSIG]; /* trap handler commands */ Modified: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl ============================================================================== --- projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl Sun Aug 4 23:22:10 2013 (r253947) @@ -583,6 +583,8 @@ if ($opt_x) { die "$PNAME: failed to open $PNAME.$$.log: $!\n" unless (!$opt_l || open(LOG, ">$PNAME.$$.log")); +$ENV{'DTRACE_DEBUG_REGSET'} = 'true'; + if ($opt_g) { $ENV{'UMEM_DEBUG'} = 'default,verbose'; $ENV{'UMEM_LOGGING'} = 'fail,contents'; Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d) @@ -0,0 +1,35 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +#pragma D option quiet + +/* + * Make sure the sizes of compatible keys doesn't affect the sort order. + */ + +BEGIN +{ + @[(int)1, 0] = sum(10); + @[(uint64_t)2, 0] = sum(20); + @[(int)3, 0] = sum(30); + @[(uint64_t)4, 0] = sum(40); + printa(@); + + exit(0); +} Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out) @@ -0,0 +1,6 @@ + + 1 0 10 + 2 0 20 + 3 0 30 + 4 0 40 + Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out) @@ -0,0 +1,8 @@ +The value of i is 6 +The value of i is 18 +The value of i is 72 +The value of i is 25920 +The value of i is 935761216 +The value of i is -91738734 +The value of i is -91738729 + Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d) @@ -0,0 +1,50 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +/* + * Test compile-time casting between integer types of different size. + */ + +#pragma D option quiet + +int64_t x; + +BEGIN +{ + x = (int32_t)(int16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (int32_t)(uint16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(int16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(uint16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + printf("\n"); + + x = (int16_t)(int32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (int16_t)(uint32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(int32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(uint32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + + exit(0); +} Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out) @@ -0,0 +1,10 @@ +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fffffff0 4294967280 4294967280 + fff0 65520 65520 + +fffffffffffffff0 -16 18446744073709551600 +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fff0 65520 65520 + Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d) @@ -0,0 +1,36 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +/* + * Test narrowing at assignment. + */ + +#pragma D option quiet + +uint16_t x; +uint32_t y; + +BEGIN +{ + x = 0xbeefcafe; + y = x; + printf("%x", y); /* where's the beef? */ + + exit(0); +} Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out) @@ -0,0 +1 @@ +cafe Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d) @@ -0,0 +1,52 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +/* + * Test execution-time casting between integer types of different size. + */ + +#pragma D option quiet + +int64_t x; + +BEGIN +{ + z = 0xfff0; + + x = (int32_t)(int16_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (int32_t)(uint16_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(int16_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(uint16_t)z; + printf("%16x %20d %20u\n", x, x, x); + printf("\n"); + + x = (int16_t)(int32_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (int16_t)(uint32_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(int32_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(uint32_t)z; + printf("%16x %20d %20u\n", x, x, x); + + exit(0); +} Copied: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out (from r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out Sun Aug 4 23:22:10 2013 (r253947, copy of r253946, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out) @@ -0,0 +1,10 @@ +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fffffff0 4294967280 4294967280 + fff0 65520 65520 + +fffffffffffffff0 -16 18446744073709551600 +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fff0 65520 65520 + Modified: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d ============================================================================== --- projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d Sun Aug 4 22:38:50 2013 (r253946) +++ projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d Sun Aug 4 23:22:10 2013 (r253947) @@ -36,12 +36,12 @@ #pragma D option quiet #pragma D option statusrate=10ms -fbt::ioctl:entry +fbt::kern_ioctl:entry { printf("Entering the ioctl function\n"); } -fbt::ioctl:return +fbt::kern_ioctl:return { printf("Returning from ioctl function\n"); exit(0); Modified: projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionreturnvalue.d ============================================================================== --- projects/vmxnet/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionreturnvalue.d Sun Aug 4 22:38:50 2013 (r253946) *** DIFF OUTPUT TRUNCATED AT 1000 LINES *** From owner-svn-src-projects@FreeBSD.ORG Sun Aug 4 23:45:04 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id BB0F9F22; Sun, 4 Aug 2013 23:45:04 +0000 (UTC) (envelope-from bryanv@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id A80DE2EBE; Sun, 4 Aug 2013 23:45:04 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r74Nj4Jg043862; Sun, 4 Aug 2013 23:45:04 GMT (envelope-from bryanv@svn.freebsd.org) Received: (from bryanv@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r74Nj4m1043861; Sun, 4 Aug 2013 23:45:04 GMT (envelope-from bryanv@svn.freebsd.org) Message-Id: <201308042345.r74Nj4m1043861@svn.freebsd.org> From: Bryan Venteicher Date: Sun, 4 Aug 2013 23:45:04 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253948 - projects/vmxnet/share/man/man4 X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Sun, 04 Aug 2013 23:45:04 -0000 Author: bryanv Date: Sun Aug 4 23:45:04 2013 New Revision: 253948 URL: http://svnweb.freebsd.org/changeset/base/253948 Log: Add man page missed in r253946 Added: projects/vmxnet/share/man/man4/vmx.4 (contents, props changed) Added: projects/vmxnet/share/man/man4/vmx.4 ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/vmxnet/share/man/man4/vmx.4 Sun Aug 4 23:45:04 2013 (r253948) @@ -0,0 +1,112 @@ +.\" +.\" Copyright (c) 2006,2013 Reyk Floeter +.\" +.\" Permission to use, copy, modify, and distribute this software for any +.\" purpose with or without fee is hereby granted, provided that the above +.\" copyright notice and this permission notice appear in all copies. +.\" +.\" THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES +.\" WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF +.\" MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR +.\" ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES +.\" WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN +.\" ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF +.\" OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. +.\" +.\" $OpenBSD: src/share/man/man4/vmx.4,v 1.1 2013/05/31 20:18:44 reyk Exp $ +.\" +.\" $FreeBSD$ +.\" +.Dd August 4, 2013 +.Dt VMX 4 +.Os +.Sh NAME +.Nm vmx +.Nd VMware VMXNET3 Virtual Interface Controller device +.Sh SYNOPSIS +To compile this driver into the kernel, +place the following line in your +kernel configuration file: +.Bd -ragged -offset indent +.Cd "device vmx" +.Ed +.Pp +Alternatively, to load the driver as a +module at boot time, place the following line in +.Xr loader.conf 5 : +.Bd -literal -offset indent +if_vmx_load="YES" +.Ed +.Sh DESCRIPTION +The +.Nm +driver provides support for the VMXNET3 virtual NIC available in virtual +machines by VMware. +It appears as a simple Ethernet device but is actually a virtual network +interface to the underlying host operating system. +.Pp +This driver supports the +.Ic VMXNET3 +driver protocol, as an alternative to the emulated +.Xr pcn 4 , +.Xr em 4 +interfaces also available in the VMware environment. +The +.Nm +driver is optimized for the virtual machine, it can provide advanced +capabilities depending on the underlying host operating system and +the physical network interface controller of the host. +The +.Nm +driver supports features like multiqueue support, IPv6 +checksum offloading, MSI/MSI-X support and hardware VLAN tagging in +VMware's VLAN Guest Tagging (VGT) mode. +.Pp +The +.Nm +driver supports VMXNET3 VMware virtual NICs provided by the virtual +machine hardware version 7 or newer, as provided by the following +products: +.Pp +.Bl -bullet -compact -offset indent +.It +VMware ESX/ESXi 4.0 and newer +.It +VMware Server 2.0 and newer +.It +VMware Workstation 6.5 and newer +.It +VMware Fusion 2.0 and newer +.El +.Pp +For more information on configuring this device, see +.Xr ifconfig 8 . +.Sh EXAMPLES +The following entry must be added to the VMware configuration file +to provide the +.Nm +device: +.Bd -literal -offset indent +ethernet0.virtualDev = "vmxnet3" +.Ed +.Sh SEE ALSO +.Xr altq 4 , +.Xr arp 4 , +.Xr em 4 , +.Xr netintro 4 , +.Xr ng_ether 4 , +.Xr pcn 4 , +.Xr vlan 4 , +.Xr ifconfig 8 +.Sh AUTHORS +.An -nosplit +The +.Nm +driver was ported from +.Ox +by +.An Bryan Venteicher Aq bryanv@freebsd.org . +The +.Ox +driver was written by +.An Tsubai Masanari . From owner-svn-src-projects@FreeBSD.ORG Mon Aug 5 07:11:07 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id C998E875; Mon, 5 Aug 2013 07:11:07 +0000 (UTC) (envelope-from mav@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id B25232C2B; Mon, 5 Aug 2013 07:11:07 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r757B7J3081287; Mon, 5 Aug 2013 07:11:07 GMT (envelope-from mav@svn.freebsd.org) Received: (from mav@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r757B0rI081233; Mon, 5 Aug 2013 07:11:00 GMT (envelope-from mav@svn.freebsd.org) Message-Id: <201308050711.r757B0rI081233@svn.freebsd.org> From: Alexander Motin Date: Mon, 5 Aug 2013 07:11:00 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253951 - in projects/camlock: . bin/sh cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs cddl/contrib/opensolaris/cmd/dtrace... X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Mon, 05 Aug 2013 07:11:07 -0000 Author: mav Date: Mon Aug 5 07:10:57 2013 New Revision: 253951 URL: http://svnweb.freebsd.org/changeset/base/253951 Log: MFC @ r253950 Added: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_AGG.bad.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_AGG.bad.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.dyn.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.dyn.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_AGG.bad.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_AGG.bad.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/tst.dyn.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/tst.dyn.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh.out - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/types/tst.const.d - copied unchanged from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/types/tst.const.d projects/camlock/contrib/apr-util/crypto/apr_passwd.c - copied unchanged from r253950, head/contrib/apr-util/crypto/apr_passwd.c projects/camlock/contrib/apr-util/crypto/crypt_blowfish.c - copied unchanged from r253950, head/contrib/apr-util/crypto/crypt_blowfish.c projects/camlock/contrib/apr-util/crypto/crypt_blowfish.h - copied unchanged from r253950, head/contrib/apr-util/crypto/crypt_blowfish.h projects/camlock/contrib/serf/SConstruct - copied unchanged from r253950, head/contrib/serf/SConstruct projects/camlock/contrib/serf/auth/auth_spnego.c - copied unchanged from r253950, head/contrib/serf/auth/auth_spnego.c projects/camlock/contrib/serf/auth/auth_spnego.h - copied unchanged from r253950, head/contrib/serf/auth/auth_spnego.h projects/camlock/contrib/serf/auth/auth_spnego_gss.c - copied unchanged from r253950, head/contrib/serf/auth/auth_spnego_gss.c projects/camlock/contrib/serf/auth/auth_spnego_sspi.c - copied unchanged from r253950, head/contrib/serf/auth/auth_spnego_sspi.c projects/camlock/contrib/serf/build/check.py - copied unchanged from r253950, head/contrib/serf/build/check.py projects/camlock/contrib/serf/build/serf.pc.in - copied unchanged from r253950, head/contrib/serf/build/serf.pc.in projects/camlock/contrib/wpa/hostapd/hlr_auc_gw.txt - copied unchanged from r253950, head/contrib/wpa/hostapd/hlr_auc_gw.txt projects/camlock/contrib/wpa/hostapd/hostapd.eap_user_sqlite - copied unchanged from r253950, head/contrib/wpa/hostapd/hostapd.eap_user_sqlite projects/camlock/contrib/wpa/patches/openssl-0.9.8x-tls-extensions.patch - copied unchanged from r253950, head/contrib/wpa/patches/openssl-0.9.8x-tls-extensions.patch projects/camlock/contrib/wpa/src/ap/eap_user_db.c - copied unchanged from r253950, head/contrib/wpa/src/ap/eap_user_db.c projects/camlock/contrib/wpa/src/ap/gas_serv.c - copied unchanged from r253950, head/contrib/wpa/src/ap/gas_serv.c projects/camlock/contrib/wpa/src/ap/gas_serv.h - copied unchanged from r253950, head/contrib/wpa/src/ap/gas_serv.h projects/camlock/contrib/wpa/src/ap/hs20.c - copied unchanged from r253950, head/contrib/wpa/src/ap/hs20.c projects/camlock/contrib/wpa/src/ap/hs20.h - copied unchanged from r253950, head/contrib/wpa/src/ap/hs20.h projects/camlock/contrib/wpa/src/ap/ieee802_11_shared.c - copied unchanged from r253950, head/contrib/wpa/src/ap/ieee802_11_shared.c projects/camlock/contrib/wpa/src/ap/ieee802_11_vht.c - copied unchanged from r253950, head/contrib/wpa/src/ap/ieee802_11_vht.c projects/camlock/contrib/wpa/src/ap/p2p_hostapd.c - copied unchanged from r253950, head/contrib/wpa/src/ap/p2p_hostapd.c projects/camlock/contrib/wpa/src/ap/p2p_hostapd.h - copied unchanged from r253950, head/contrib/wpa/src/ap/p2p_hostapd.h projects/camlock/contrib/wpa/src/ap/vlan_util.c - copied unchanged from r253950, head/contrib/wpa/src/ap/vlan_util.c projects/camlock/contrib/wpa/src/ap/vlan_util.h - copied unchanged from r253950, head/contrib/wpa/src/ap/vlan_util.h projects/camlock/contrib/wpa/src/ap/wnm_ap.c - copied unchanged from r253950, head/contrib/wpa/src/ap/wnm_ap.c projects/camlock/contrib/wpa/src/ap/wnm_ap.h - copied unchanged from r253950, head/contrib/wpa/src/ap/wnm_ap.h projects/camlock/contrib/wpa/src/common/gas.c - copied unchanged from r253950, head/contrib/wpa/src/common/gas.c projects/camlock/contrib/wpa/src/common/gas.h - copied unchanged from r253950, head/contrib/wpa/src/common/gas.h projects/camlock/contrib/wpa/src/crypto/aes-ccm.c - copied unchanged from r253950, head/contrib/wpa/src/crypto/aes-ccm.c projects/camlock/contrib/wpa/src/crypto/aes-gcm.c - copied unchanged from r253950, head/contrib/wpa/src/crypto/aes-gcm.c projects/camlock/contrib/wpa/src/crypto/random.c - copied unchanged from r253950, head/contrib/wpa/src/crypto/random.c projects/camlock/contrib/wpa/src/crypto/random.h - copied unchanged from r253950, head/contrib/wpa/src/crypto/random.h projects/camlock/contrib/wpa/src/crypto/sha1-prf.c - copied unchanged from r253950, head/contrib/wpa/src/crypto/sha1-prf.c projects/camlock/contrib/wpa/src/crypto/sha256-prf.c - copied unchanged from r253950, head/contrib/wpa/src/crypto/sha256-prf.c projects/camlock/contrib/wpa/src/crypto/sha256-tlsprf.c - copied unchanged from r253950, head/contrib/wpa/src/crypto/sha256-tlsprf.c projects/camlock/contrib/wpa/src/crypto/sha256_i.h - copied unchanged from r253950, head/contrib/wpa/src/crypto/sha256_i.h projects/camlock/contrib/wpa/src/drivers/driver_bsd.c - copied unchanged from r253950, head/contrib/wpa/src/drivers/driver_bsd.c projects/camlock/contrib/wpa/src/drivers/driver_common.c - copied unchanged from r253950, head/contrib/wpa/src/drivers/driver_common.c projects/camlock/contrib/wpa/src/drivers/driver_privsep.c - copied unchanged from r253950, head/contrib/wpa/src/drivers/driver_privsep.c projects/camlock/contrib/wpa/src/eap_common/eap_pwd_common.c - copied unchanged from r253950, head/contrib/wpa/src/eap_common/eap_pwd_common.c projects/camlock/contrib/wpa/src/eap_common/eap_pwd_common.h - copied unchanged from r253950, head/contrib/wpa/src/eap_common/eap_pwd_common.h projects/camlock/contrib/wpa/src/eap_peer/eap_pwd.c - copied unchanged from r253950, head/contrib/wpa/src/eap_peer/eap_pwd.c projects/camlock/contrib/wpa/src/eap_server/eap_server_pwd.c - copied unchanged from r253950, head/contrib/wpa/src/eap_server/eap_server_pwd.c projects/camlock/contrib/wpa/src/l2_packet/l2_packet_privsep.c - copied unchanged from r253950, head/contrib/wpa/src/l2_packet/l2_packet_privsep.c projects/camlock/contrib/wpa/src/p2p/ - copied from r253950, head/contrib/wpa/src/p2p/ projects/camlock/contrib/wpa/src/radius/radius_das.c - copied unchanged from r253950, head/contrib/wpa/src/radius/radius_das.c projects/camlock/contrib/wpa/src/radius/radius_das.h - copied unchanged from r253950, head/contrib/wpa/src/radius/radius_das.h projects/camlock/contrib/wpa/src/rsn_supp/tdls.c - copied unchanged from r253950, head/contrib/wpa/src/rsn_supp/tdls.c projects/camlock/contrib/wpa/src/utils/edit.c - copied unchanged from r253950, head/contrib/wpa/src/utils/edit.c projects/camlock/contrib/wpa/src/utils/edit.h - copied unchanged from r253950, head/contrib/wpa/src/utils/edit.h projects/camlock/contrib/wpa/src/utils/edit_readline.c - copied unchanged from r253950, head/contrib/wpa/src/utils/edit_readline.c projects/camlock/contrib/wpa/src/utils/edit_simple.c - copied unchanged from r253950, head/contrib/wpa/src/utils/edit_simple.c projects/camlock/contrib/wpa/src/utils/ext_password.c - copied unchanged from r253950, head/contrib/wpa/src/utils/ext_password.c projects/camlock/contrib/wpa/src/utils/ext_password.h - copied unchanged from r253950, head/contrib/wpa/src/utils/ext_password.h projects/camlock/contrib/wpa/src/utils/ext_password_i.h - copied unchanged from r253950, head/contrib/wpa/src/utils/ext_password_i.h projects/camlock/contrib/wpa/src/utils/ext_password_test.c - copied unchanged from r253950, head/contrib/wpa/src/utils/ext_password_test.c projects/camlock/contrib/wpa/src/wps/wps_attr_parse.h - copied unchanged from r253950, head/contrib/wpa/src/wps/wps_attr_parse.h projects/camlock/contrib/wpa/src/wps/wps_validate.c - copied unchanged from r253950, head/contrib/wpa/src/wps/wps_validate.c projects/camlock/contrib/wpa/wpa_supplicant/README-HS20 - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/README-HS20 projects/camlock/contrib/wpa/wpa_supplicant/README-P2P - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/README-P2P projects/camlock/contrib/wpa/wpa_supplicant/autoscan.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/autoscan.c projects/camlock/contrib/wpa/wpa_supplicant/autoscan.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/autoscan.h projects/camlock/contrib/wpa/wpa_supplicant/autoscan_exponential.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/autoscan_exponential.c projects/camlock/contrib/wpa/wpa_supplicant/autoscan_periodic.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/autoscan_periodic.c projects/camlock/contrib/wpa/wpa_supplicant/bgscan_learn.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/bgscan_learn.c projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.c projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.h projects/camlock/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service.in - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service.in projects/camlock/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service.in - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service.in projects/camlock/contrib/wpa/wpa_supplicant/examples/dbus-listen-preq.py - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/examples/dbus-listen-preq.py projects/camlock/contrib/wpa/wpa_supplicant/examples/p2p/ - copied from r253950, head/contrib/wpa/wpa_supplicant/examples/p2p/ projects/camlock/contrib/wpa/wpa_supplicant/examples/p2p-action-udhcp.sh - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/examples/p2p-action-udhcp.sh projects/camlock/contrib/wpa/wpa_supplicant/examples/p2p-action.sh - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/examples/p2p-action.sh projects/camlock/contrib/wpa/wpa_supplicant/examples/udhcpd-p2p.conf - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/examples/udhcpd-p2p.conf projects/camlock/contrib/wpa/wpa_supplicant/examples/wps-ap-cli - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/examples/wps-ap-cli projects/camlock/contrib/wpa/wpa_supplicant/examples/wps-nfc.py - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/examples/wps-nfc.py projects/camlock/contrib/wpa/wpa_supplicant/gas_query.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/gas_query.c projects/camlock/contrib/wpa/wpa_supplicant/gas_query.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/gas_query.h projects/camlock/contrib/wpa/wpa_supplicant/hs20_supplicant.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/hs20_supplicant.c projects/camlock/contrib/wpa/wpa_supplicant/hs20_supplicant.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/hs20_supplicant.h projects/camlock/contrib/wpa/wpa_supplicant/interworking.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/interworking.c projects/camlock/contrib/wpa/wpa_supplicant/interworking.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/interworking.h projects/camlock/contrib/wpa/wpa_supplicant/nfc_pw_token.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/nfc_pw_token.c projects/camlock/contrib/wpa/wpa_supplicant/offchannel.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/offchannel.c projects/camlock/contrib/wpa/wpa_supplicant/offchannel.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/offchannel.h projects/camlock/contrib/wpa/wpa_supplicant/p2p_supplicant.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/p2p_supplicant.c projects/camlock/contrib/wpa/wpa_supplicant/p2p_supplicant.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/p2p_supplicant.h projects/camlock/contrib/wpa/wpa_supplicant/utils/ - copied from r253950, head/contrib/wpa/wpa_supplicant/utils/ projects/camlock/contrib/wpa/wpa_supplicant/wifi_display.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/wifi_display.c projects/camlock/contrib/wpa/wpa_supplicant/wifi_display.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/wifi_display.h projects/camlock/contrib/wpa/wpa_supplicant/wnm_sta.c - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/wnm_sta.c projects/camlock/contrib/wpa/wpa_supplicant/wnm_sta.h - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/wnm_sta.h projects/camlock/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.mk - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.mk projects/camlock/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.sh - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.sh projects/camlock/contrib/wpa/wpa_supplicant/wpa_supplicant_template.conf - copied unchanged from r253950, head/contrib/wpa/wpa_supplicant/wpa_supplicant_template.conf projects/camlock/etc/libmap.conf - copied unchanged from r253950, head/etc/libmap.conf projects/camlock/lib/libc++/libc++.ldscript - copied unchanged from r253950, head/lib/libc++/libc++.ldscript projects/camlock/lib/libusb/libusb-0.1.pc - copied unchanged from r253950, head/lib/libusb/libusb-0.1.pc projects/camlock/lib/libusb/libusb-1.0.pc - copied unchanged from r253950, head/lib/libusb/libusb-1.0.pc projects/camlock/lib/libusb/libusb-2.0.pc - copied unchanged from r253950, head/lib/libusb/libusb-2.0.pc projects/camlock/sbin/mount/mount.conf.8 - copied unchanged from r253950, head/sbin/mount/mount.conf.8 projects/camlock/share/doc/legal/realtek/ - copied from r253950, head/share/doc/legal/realtek/ projects/camlock/share/man/man4/cc_cdg.4 - copied unchanged from r253950, head/share/man/man4/cc_cdg.4 projects/camlock/share/man/man4/hptnr.4 - copied unchanged from r253950, head/share/man/man4/hptnr.4 projects/camlock/share/man/man4/rsu.4 - copied unchanged from r253950, head/share/man/man4/rsu.4 projects/camlock/share/man/man4/rsufw.4 - copied unchanged from r253950, head/share/man/man4/rsufw.4 projects/camlock/share/man/man9/SDT.9 - copied unchanged from r253950, head/share/man/man9/SDT.9 projects/camlock/share/man/man9/vmem.9 - copied unchanged from r253950, head/share/man/man9/vmem.9 projects/camlock/share/monetdef/ca_ES.UTF-8.src - copied unchanged from r253950, head/share/monetdef/ca_ES.UTF-8.src projects/camlock/share/monetdef/de_AT.UTF-8.src - copied unchanged from r253950, head/share/monetdef/de_AT.UTF-8.src projects/camlock/share/monetdef/de_DE.UTF-8.src - copied unchanged from r253950, head/share/monetdef/de_DE.UTF-8.src projects/camlock/share/monetdef/el_GR.UTF-8.src - copied unchanged from r253950, head/share/monetdef/el_GR.UTF-8.src projects/camlock/share/monetdef/es_ES.UTF-8.src - copied unchanged from r253950, head/share/monetdef/es_ES.UTF-8.src projects/camlock/share/monetdef/fi_FI.UTF-8.src - copied unchanged from r253950, head/share/monetdef/fi_FI.UTF-8.src projects/camlock/share/monetdef/fr_BE.UTF-8.src - copied unchanged from r253950, head/share/monetdef/fr_BE.UTF-8.src projects/camlock/share/monetdef/fr_FR.UTF-8.src - copied unchanged from r253950, head/share/monetdef/fr_FR.UTF-8.src projects/camlock/share/monetdef/it_IT.UTF-8.src - copied unchanged from r253950, head/share/monetdef/it_IT.UTF-8.src projects/camlock/share/monetdef/nl_BE.UTF-8.src - copied unchanged from r253950, head/share/monetdef/nl_BE.UTF-8.src projects/camlock/share/monetdef/nl_NL.UTF-8.src - copied unchanged from r253950, head/share/monetdef/nl_NL.UTF-8.src projects/camlock/sys/arm/broadcom/bcm2835/std.bcm2835 - copied unchanged from r253950, head/sys/arm/broadcom/bcm2835/std.bcm2835 projects/camlock/sys/arm/broadcom/bcm2835/std.rpi - copied unchanged from r253950, head/sys/arm/broadcom/bcm2835/std.rpi projects/camlock/sys/arm/freescale/imx/files.imx51 - copied unchanged from r253950, head/sys/arm/freescale/imx/files.imx51 projects/camlock/sys/arm/freescale/imx/std.imx51 - copied unchanged from r253950, head/sys/arm/freescale/imx/std.imx51 projects/camlock/sys/arm/ti/am335x/am335x_usbss.c - copied unchanged from r253950, head/sys/arm/ti/am335x/am335x_usbss.c projects/camlock/sys/boot/i386/gptboot/gptboot.8 - copied unchanged from r253950, head/sys/boot/i386/gptboot/gptboot.8 projects/camlock/sys/contrib/dev/rsu/ - copied from r253950, head/sys/contrib/dev/rsu/ projects/camlock/sys/contrib/dev/urtwn/ - copied from r253950, head/sys/contrib/dev/urtwn/ projects/camlock/sys/contrib/v4l/ - copied from r253950, head/sys/contrib/v4l/ projects/camlock/sys/crypto/siphash/ - copied from r253950, head/sys/crypto/siphash/ projects/camlock/sys/dev/cxgbe/firmware/t4fw-1.8.11.0.bin.uu - copied unchanged from r253950, head/sys/dev/cxgbe/firmware/t4fw-1.8.11.0.bin.uu projects/camlock/sys/dev/cxgbe/firmware/t5fw-1.8.22.0.bin.uu - copied unchanged from r253950, head/sys/dev/cxgbe/firmware/t5fw-1.8.22.0.bin.uu projects/camlock/sys/dev/cxgbe/firmware/t5fw_cfg.txt - copied unchanged from r253950, head/sys/dev/cxgbe/firmware/t5fw_cfg.txt projects/camlock/sys/dev/cxgbe/firmware/t5fw_cfg_fpga.txt - copied unchanged from r253950, head/sys/dev/cxgbe/firmware/t5fw_cfg_fpga.txt projects/camlock/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt - copied unchanged from r253950, head/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt projects/camlock/sys/dev/cxgbe/t4_tracer.c - copied unchanged from r253950, head/sys/dev/cxgbe/t4_tracer.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_vlans.c - copied unchanged from r253950, head/sys/dev/etherswitch/arswitch/arswitch_vlans.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_vlans.h - copied unchanged from r253950, head/sys/dev/etherswitch/arswitch/arswitch_vlans.h projects/camlock/sys/dev/hpt27xx/hpt27xx_os_bsd.c - copied unchanged from r253950, head/sys/dev/hpt27xx/hpt27xx_os_bsd.c projects/camlock/sys/dev/hpt27xx/hpt27xx_osm_bsd.c - copied unchanged from r253950, head/sys/dev/hpt27xx/hpt27xx_osm_bsd.c projects/camlock/sys/dev/hptnr/ - copied from r253950, head/sys/dev/hptnr/ projects/camlock/sys/dev/iwn/if_iwn_devid.h - copied unchanged from r253950, head/sys/dev/iwn/if_iwn_devid.h projects/camlock/sys/dev/mbox/ - copied from r253950, head/sys/dev/mbox/ projects/camlock/sys/dev/nvme/nvme_util.c - copied unchanged from r253950, head/sys/dev/nvme/nvme_util.c projects/camlock/sys/dev/usb/gadget/ - copied from r253950, head/sys/dev/usb/gadget/ projects/camlock/sys/dev/usb/wlan/if_rsu.c - copied unchanged from r253950, head/sys/dev/usb/wlan/if_rsu.c projects/camlock/sys/dev/usb/wlan/if_rsureg.h - copied unchanged from r253950, head/sys/dev/usb/wlan/if_rsureg.h projects/camlock/sys/dev/wi/if_wi_macio.c - copied unchanged from r253950, head/sys/dev/wi/if_wi_macio.c projects/camlock/sys/fs/ext2fs/ext2_hash.c - copied unchanged from r253950, head/sys/fs/ext2fs/ext2_hash.c projects/camlock/sys/fs/ext2fs/ext2_htree.c - copied unchanged from r253950, head/sys/fs/ext2fs/ext2_htree.c projects/camlock/sys/fs/ext2fs/htree.h - copied unchanged from r253950, head/sys/fs/ext2fs/htree.h projects/camlock/sys/libkern/flsll.c - copied unchanged from r253950, head/sys/libkern/flsll.c projects/camlock/sys/mips/atheros/ar934x_chip.c - copied unchanged from r253950, head/sys/mips/atheros/ar934x_chip.c projects/camlock/sys/mips/atheros/ar934x_chip.h - copied unchanged from r253950, head/sys/mips/atheros/ar934x_chip.h projects/camlock/sys/mips/atheros/ar934xreg.h - copied unchanged from r253950, head/sys/mips/atheros/ar934xreg.h projects/camlock/sys/mips/conf/AR934X_BASE - copied unchanged from r253950, head/sys/mips/conf/AR934X_BASE projects/camlock/sys/mips/conf/AR934X_BASE.hints - copied unchanged from r253950, head/sys/mips/conf/AR934X_BASE.hints projects/camlock/sys/mips/conf/DB120 - copied unchanged from r253950, head/sys/mips/conf/DB120 projects/camlock/sys/mips/conf/DB120.hints - copied unchanged from r253950, head/sys/mips/conf/DB120.hints projects/camlock/sys/mips/conf/ENH200 - copied unchanged from r253950, head/sys/mips/conf/ENH200 projects/camlock/sys/mips/conf/ENH200.hints - copied unchanged from r253950, head/sys/mips/conf/ENH200.hints projects/camlock/sys/modules/cc/cc_cdg/ - copied from r253950, head/sys/modules/cc/cc_cdg/ projects/camlock/sys/modules/cxgbe/t5_firmware/ - copied from r253950, head/sys/modules/cxgbe/t5_firmware/ projects/camlock/sys/modules/hptnr/ - copied from r253950, head/sys/modules/hptnr/ projects/camlock/sys/modules/usb/g_audio/ - copied from r253950, head/sys/modules/usb/g_audio/ projects/camlock/sys/modules/usb/g_keyboard/ - copied from r253950, head/sys/modules/usb/g_keyboard/ projects/camlock/sys/modules/usb/g_modem/ - copied from r253950, head/sys/modules/usb/g_modem/ projects/camlock/sys/modules/usb/g_mouse/ - copied from r253950, head/sys/modules/usb/g_mouse/ projects/camlock/sys/modules/usb/rsu/ - copied from r253950, head/sys/modules/usb/rsu/ projects/camlock/sys/modules/usb/rsufw/ - copied from r253950, head/sys/modules/usb/rsufw/ projects/camlock/sys/modules/usb/runfw/ - copied from r253950, head/sys/modules/usb/runfw/ projects/camlock/sys/modules/usb/urtwnfw/ - copied from r253950, head/sys/modules/usb/urtwnfw/ projects/camlock/sys/netinet/cc/cc_cdg.c - copied unchanged from r253950, head/sys/netinet/cc/cc_cdg.c projects/camlock/tools/build/options/WITHOUT_ARM_EABI - copied unchanged from r253950, head/tools/build/options/WITHOUT_ARM_EABI projects/camlock/tools/build/options/WITHOUT_SVNLITE - copied unchanged from r253950, head/tools/build/options/WITHOUT_SVNLITE projects/camlock/tools/build/options/WITH_GNU_PATCH - copied unchanged from r253950, head/tools/build/options/WITH_GNU_PATCH projects/camlock/tools/build/options/WITH_PKGTOOLS - copied unchanged from r253950, head/tools/build/options/WITH_PKGTOOLS projects/camlock/tools/build/options/WITH_SVN - copied unchanged from r253950, head/tools/build/options/WITH_SVN projects/camlock/tools/regression/bin/sh/execution/int-cmd1.0 - copied unchanged from r253950, head/tools/regression/bin/sh/execution/int-cmd1.0 projects/camlock/usr.sbin/bhyve/rtc.h - copied unchanged from r253950, head/usr.sbin/bhyve/rtc.h projects/camlock/usr.sbin/bhyve/virtio.c - copied unchanged from r253950, head/usr.sbin/bhyve/virtio.c projects/camlock/usr.sbin/bsdconfig/examples/browse_packages.sh - copied unchanged from r253950, head/usr.sbin/bsdconfig/examples/browse_packages.sh projects/camlock/usr.sbin/bsdconfig/networking/share/services.subr - copied unchanged from r253950, head/usr.sbin/bsdconfig/networking/share/services.subr projects/camlock/usr.sbin/wpa/wpa_priv/ - copied from r253950, head/usr.sbin/wpa/wpa_priv/ Deleted: projects/camlock/bin/sh/init.h projects/camlock/bin/sh/mkinit.c projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.complex.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_DYN.bad.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/man.TestTransStability.d projects/camlock/contrib/apr-util/aprutil.dsp projects/camlock/contrib/apr-util/crypto/apr_crypto_nss.dsp projects/camlock/contrib/apr-util/crypto/apr_crypto_openssl.dsp projects/camlock/contrib/apr-util/dbd/apr_dbd_freetds.dsp projects/camlock/contrib/apr-util/dbd/apr_dbd_mysql.dsp projects/camlock/contrib/apr-util/dbd/apr_dbd_odbc.dsp projects/camlock/contrib/apr-util/dbd/apr_dbd_oracle.dsp projects/camlock/contrib/apr-util/dbd/apr_dbd_pgsql.dsp projects/camlock/contrib/apr-util/dbd/apr_dbd_sqlite2.dsp projects/camlock/contrib/apr-util/dbd/apr_dbd_sqlite3.dsp projects/camlock/contrib/apr-util/dbm/apr_dbm_db.dsp projects/camlock/contrib/apr-util/dbm/apr_dbm_gdbm.dsp projects/camlock/contrib/apr-util/ldap/apr_ldap.dsp projects/camlock/contrib/apr-util/libaprutil.dsp projects/camlock/contrib/apr-util/test/testutildll.dsp projects/camlock/contrib/apr-util/test/testutillib.dsp projects/camlock/contrib/openbsm/m4/lt~obsolete.m4 projects/camlock/contrib/serf/Makefile.in projects/camlock/contrib/serf/auth/auth_kerb.c projects/camlock/contrib/serf/auth/auth_kerb.h projects/camlock/contrib/serf/auth/auth_kerb_gss.c projects/camlock/contrib/serf/auth/auth_kerb_sspi.c projects/camlock/contrib/serf/build/apr_common.m4 projects/camlock/contrib/serf/build/config.guess projects/camlock/contrib/serf/build/config.sub projects/camlock/contrib/serf/build/find_apr.m4 projects/camlock/contrib/serf/build/find_apu.m4 projects/camlock/contrib/serf/build/get-version.sh projects/camlock/contrib/serf/build/install.sh projects/camlock/contrib/serf/build/serf.def projects/camlock/contrib/serf/buildconf projects/camlock/contrib/serf/config.layout projects/camlock/contrib/serf/configure projects/camlock/contrib/serf/configure.in projects/camlock/contrib/serf/serf.mak projects/camlock/contrib/serf/serf.pc.in projects/camlock/contrib/serf/serfmake projects/camlock/contrib/wpa/hostapd/.gitignore projects/camlock/contrib/wpa/hostapd/Makefile projects/camlock/contrib/wpa/src/Makefile projects/camlock/contrib/wpa/src/ap/Makefile projects/camlock/contrib/wpa/src/common/Makefile projects/camlock/contrib/wpa/src/crypto/.gitignore projects/camlock/contrib/wpa/src/crypto/Makefile projects/camlock/contrib/wpa/src/crypto/md5-non-fips.c projects/camlock/contrib/wpa/src/drivers/.gitignore projects/camlock/contrib/wpa/src/drivers/Makefile projects/camlock/contrib/wpa/src/drivers/driver_ndiswrapper.c projects/camlock/contrib/wpa/src/drivers/drivers.mak projects/camlock/contrib/wpa/src/eap_common/Makefile projects/camlock/contrib/wpa/src/eap_peer/Makefile projects/camlock/contrib/wpa/src/eap_server/Makefile projects/camlock/contrib/wpa/src/eapol_auth/Makefile projects/camlock/contrib/wpa/src/eapol_supp/Makefile projects/camlock/contrib/wpa/src/l2_packet/Makefile projects/camlock/contrib/wpa/src/lib.rules projects/camlock/contrib/wpa/src/radius/.gitignore projects/camlock/contrib/wpa/src/radius/Makefile projects/camlock/contrib/wpa/src/rsn_supp/Makefile projects/camlock/contrib/wpa/src/tls/.gitignore projects/camlock/contrib/wpa/src/tls/Makefile projects/camlock/contrib/wpa/src/utils/.gitignore projects/camlock/contrib/wpa/src/utils/Makefile projects/camlock/contrib/wpa/src/wps/Makefile projects/camlock/contrib/wpa/src/wps/wps_nfc.c projects/camlock/contrib/wpa/src/wps/wps_nfc_pn531.c projects/camlock/contrib/wpa/src/wps/wps_ufd.c projects/camlock/contrib/wpa/wpa_supplicant/.gitignore projects/camlock/contrib/wpa/wpa_supplicant/Makefile projects/camlock/contrib/wpa/wpa_supplicant/dbus/.gitignore projects/camlock/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service projects/camlock/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service projects/camlock/contrib/wpa/wpa_supplicant/doc/ projects/camlock/contrib/wpa/wpa_supplicant/mlme.c projects/camlock/contrib/wpa/wpa_supplicant/mlme.h projects/camlock/contrib/wpa/wpa_supplicant/wpa_supplicant.nsi projects/camlock/sys/arm/freescale/imx/imx.files projects/camlock/sys/arm/freescale/imx/std.imx projects/camlock/sys/compat/linux/linux_videodev.h projects/camlock/sys/compat/linux/linux_videodev2.h projects/camlock/sys/dev/cxgbe/firmware/t4fw-1.8.4.0.bin.uu projects/camlock/sys/dev/hpt27xx/os_bsd.c projects/camlock/sys/dev/hpt27xx/osm_bsd.c projects/camlock/sys/modules/runfw/ projects/camlock/tools/build/options/WITHOUT_PKGTOOLS projects/camlock/tools/build/options/WITH_ARM_EABI projects/camlock/tools/build/options/WITH_BSD_PATCH projects/camlock/usr.bin/systat/mbufs.c projects/camlock/usr.sbin/wpa/hostapd/driver_freebsd.c projects/camlock/usr.sbin/wpa/wpa_supplicant/driver_freebsd.c Modified: projects/camlock/MAINTAINERS (contents, props changed) projects/camlock/Makefile projects/camlock/Makefile.inc1 projects/camlock/ObsoleteFiles.inc projects/camlock/UPDATING projects/camlock/bin/sh/Makefile projects/camlock/bin/sh/TOUR projects/camlock/bin/sh/eval.c projects/camlock/bin/sh/eval.h projects/camlock/bin/sh/exec.c projects/camlock/bin/sh/input.c projects/camlock/bin/sh/input.h projects/camlock/bin/sh/jobs.c projects/camlock/bin/sh/main.c projects/camlock/bin/sh/output.c projects/camlock/bin/sh/parser.c projects/camlock/bin/sh/parser.h projects/camlock/bin/sh/redir.c projects/camlock/bin/sh/redir.h projects/camlock/bin/sh/shell.h projects/camlock/bin/sh/trap.c projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionreturnvalue.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.ioctlargs.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.offset.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.offsetzero.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.return0.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.tailcall.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.strjoin.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.BadAlign.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress2.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress3.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress4.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_VOID.bad.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PROTO_LEN.bad.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.ints.d.out projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/safety/tst.copyin.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/speculation/err.BufSizeVariations2.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_PROTO_LEN.bad.d projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_VOID.bad.d projects/camlock/cddl/contrib/opensolaris/cmd/zfs/zfs_main.c projects/camlock/cddl/contrib/opensolaris/cmd/zpool/zpool_main.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_aggregate.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_cc.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_cg.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_consume.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_decl.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_error.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_errtags.h projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_impl.h projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_parser.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_printf.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/common/dt_subr.c projects/camlock/cddl/contrib/opensolaris/lib/libdtrace/i386/dt_isadep.c projects/camlock/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_dataset.c projects/camlock/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_sendrecv.c projects/camlock/cddl/contrib/opensolaris/tools/ctf/cvt/ctf.c projects/camlock/cddl/contrib/opensolaris/tools/ctf/cvt/ctftools.h projects/camlock/cddl/contrib/opensolaris/tools/ctf/cvt/dwarf.c projects/camlock/cddl/contrib/opensolaris/tools/ctf/cvt/st_parse.c projects/camlock/contrib/apr-util/CHANGES projects/camlock/contrib/apr-util/Makefile.win projects/camlock/contrib/apr-util/NWGNUmakefile projects/camlock/contrib/apr-util/apr-util.spec projects/camlock/contrib/apr-util/buckets/apr_brigade.c projects/camlock/contrib/apr-util/buckets/apr_buckets_alloc.c projects/camlock/contrib/apr-util/build-outputs.mk projects/camlock/contrib/apr-util/build.conf projects/camlock/contrib/apr-util/configure projects/camlock/contrib/apr-util/configure.in projects/camlock/contrib/apr-util/crypto/apr_crypto.c projects/camlock/contrib/apr-util/crypto/apr_crypto_nss.c projects/camlock/contrib/apr-util/crypto/apr_crypto_openssl.c projects/camlock/contrib/apr-util/crypto/apr_md5.c projects/camlock/contrib/apr-util/dbd/NWGNUdbdmysql projects/camlock/contrib/apr-util/dbd/apr_dbd_freetds.c projects/camlock/contrib/apr-util/dbd/apr_dbd_mysql.c projects/camlock/contrib/apr-util/dbd/apr_dbd_pgsql.c projects/camlock/contrib/apr-util/dbd/apr_dbd_sqlite2.c projects/camlock/contrib/apr-util/hooks/apr_hooks.c projects/camlock/contrib/apr-util/include/apr_base64.h projects/camlock/contrib/apr-util/include/apr_buckets.h projects/camlock/contrib/apr-util/include/apr_md5.h projects/camlock/contrib/apr-util/include/apr_queue.h projects/camlock/contrib/apr-util/include/apu.h.in projects/camlock/contrib/apr-util/include/apu_version.h projects/camlock/contrib/apr-util/include/private/apr_crypto_internal.h projects/camlock/contrib/apr-util/memcache/apr_memcache.c projects/camlock/contrib/apr-util/misc/apr_thread_pool.c projects/camlock/contrib/apr-util/test/Makefile.in projects/camlock/contrib/apr-util/test/Makefile.win projects/camlock/contrib/apr-util/test/NWGNUmakefile projects/camlock/contrib/apr-util/test/testbuckets.c projects/camlock/contrib/apr-util/test/testmd5.c projects/camlock/contrib/apr-util/test/testmemcache.c projects/camlock/contrib/apr-util/test/testpass.c projects/camlock/contrib/apr-util/test/testuri.c projects/camlock/contrib/apr-util/test/testutil.c projects/camlock/contrib/apr-util/test/testutil.h projects/camlock/contrib/apr-util/uri/apr_uri.c projects/camlock/contrib/apr/CHANGES projects/camlock/contrib/apr/Makefile.win projects/camlock/contrib/apr/apr.spec projects/camlock/contrib/apr/atomic/unix/ia32.c projects/camlock/contrib/apr/atomic/unix/ppc.c projects/camlock/contrib/apr/atomic/unix/s390.c projects/camlock/contrib/apr/configure projects/camlock/contrib/apr/docs/pool-design.html projects/camlock/contrib/apr/file_io/unix/seek.c projects/camlock/contrib/apr/include/apr.hw projects/camlock/contrib/apr/include/apr_allocator.h projects/camlock/contrib/apr/include/apr_general.h projects/camlock/contrib/apr/include/apr_network_io.h projects/camlock/contrib/apr/include/apr_pools.h projects/camlock/contrib/apr/include/apr_strings.h projects/camlock/contrib/apr/include/apr_thread_proc.h projects/camlock/contrib/apr/include/apr_version.h projects/camlock/contrib/apr/libapr.rc projects/camlock/contrib/apr/network_io/unix/multicast.c projects/camlock/contrib/apr/network_io/unix/sendrecv.c projects/camlock/contrib/apr/network_io/unix/sockaddr.c projects/camlock/contrib/apr/network_io/unix/sockopt.c projects/camlock/contrib/apr/random/unix/sha2.c projects/camlock/contrib/apr/random/unix/sha2.h projects/camlock/contrib/apr/random/unix/sha2_glue.c projects/camlock/contrib/apr/tables/apr_tables.c projects/camlock/contrib/apr/threadproc/unix/thread.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/keydata_65533.c projects/camlock/contrib/binutils/binutils/readelf.c projects/camlock/contrib/binutils/include/elf/common.h projects/camlock/contrib/binutils/ld/ldmain.c projects/camlock/contrib/bmake/ChangeLog projects/camlock/contrib/bmake/Makefile projects/camlock/contrib/bmake/bmake.1 projects/camlock/contrib/bmake/bmake.cat1 projects/camlock/contrib/bmake/compat.c projects/camlock/contrib/bmake/configure projects/camlock/contrib/bmake/configure.in projects/camlock/contrib/bmake/job.c projects/camlock/contrib/bmake/job.h projects/camlock/contrib/bmake/main.c projects/camlock/contrib/bmake/make.1 projects/camlock/contrib/bmake/make.h projects/camlock/contrib/bmake/meta.c projects/camlock/contrib/bmake/mk/ChangeLog projects/camlock/contrib/bmake/mk/init.mk projects/camlock/contrib/bmake/mk/install-mk projects/camlock/contrib/bmake/mk/lib.mk projects/camlock/contrib/bmake/mk/meta2deps.py projects/camlock/contrib/bmake/mk/own.mk projects/camlock/contrib/bmake/mk/prog.mk projects/camlock/contrib/bmake/parse.c projects/camlock/contrib/bmake/unit-tests/Makefile.in projects/camlock/contrib/bmake/var.c projects/camlock/contrib/libc++/include/__bit_reference projects/camlock/contrib/libc++/include/__config projects/camlock/contrib/libc++/include/__functional_base projects/camlock/contrib/libc++/include/__hash_table projects/camlock/contrib/libc++/include/__locale projects/camlock/contrib/libc++/include/__split_buffer projects/camlock/contrib/libc++/include/__std_stream projects/camlock/contrib/libc++/include/__tree projects/camlock/contrib/libc++/include/algorithm projects/camlock/contrib/libc++/include/atomic projects/camlock/contrib/libc++/include/deque projects/camlock/contrib/libc++/include/forward_list projects/camlock/contrib/libc++/include/functional projects/camlock/contrib/libc++/include/future projects/camlock/contrib/libc++/include/istream projects/camlock/contrib/libc++/include/iterator projects/camlock/contrib/libc++/include/list projects/camlock/contrib/libc++/include/locale projects/camlock/contrib/libc++/include/map projects/camlock/contrib/libc++/include/memory projects/camlock/contrib/libc++/include/random projects/camlock/contrib/libc++/include/regex projects/camlock/contrib/libc++/include/string projects/camlock/contrib/libc++/include/type_traits projects/camlock/contrib/libc++/include/unordered_map projects/camlock/contrib/libc++/include/utility projects/camlock/contrib/libc++/include/vector projects/camlock/contrib/libc++/src/debug.cpp projects/camlock/contrib/libc++/src/hash.cpp projects/camlock/contrib/libc++/src/iostream.cpp projects/camlock/contrib/libc++/src/locale.cpp projects/camlock/contrib/libc++/src/stdexcept.cpp projects/camlock/contrib/libc++/src/string.cpp projects/camlock/contrib/libc++/src/thread.cpp projects/camlock/contrib/libcxxrt/atomic.h projects/camlock/contrib/libcxxrt/auxhelper.cc projects/camlock/contrib/libcxxrt/cxxabi.h projects/camlock/contrib/libcxxrt/dwarf_eh.h projects/camlock/contrib/libcxxrt/exception.cc projects/camlock/contrib/libcxxrt/guard.cc projects/camlock/contrib/libcxxrt/memory.cc projects/camlock/contrib/libstdc++/include/c_std/std_cmath.h projects/camlock/contrib/llvm/include/llvm/CodeGen/FunctionLoweringInfo.h projects/camlock/contrib/llvm/include/llvm/CodeGen/MachineBasicBlock.h projects/camlock/contrib/llvm/lib/CodeGen/MachineBasicBlock.cpp projects/camlock/contrib/llvm/lib/CodeGen/SelectionDAG/FastISel.cpp projects/camlock/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGBuilder.cpp projects/camlock/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGISel.cpp projects/camlock/contrib/llvm/lib/Target/ARM/ARMFrameLowering.h projects/camlock/contrib/llvm/tools/clang/lib/Headers/cpuid.h projects/camlock/contrib/llvm/tools/clang/lib/Sema/SemaDecl.cpp projects/camlock/contrib/serf/CHANGES projects/camlock/contrib/serf/README projects/camlock/contrib/serf/auth/auth.c projects/camlock/contrib/serf/auth/auth.h projects/camlock/contrib/serf/auth/auth_basic.c projects/camlock/contrib/serf/auth/auth_digest.c projects/camlock/contrib/serf/buckets/aggregate_buckets.c projects/camlock/contrib/serf/buckets/buckets.c projects/camlock/contrib/serf/buckets/dechunk_buckets.c projects/camlock/contrib/serf/buckets/headers_buckets.c projects/camlock/contrib/serf/buckets/limit_buckets.c projects/camlock/contrib/serf/buckets/request_buckets.c projects/camlock/contrib/serf/buckets/response_buckets.c projects/camlock/contrib/serf/buckets/simple_buckets.c projects/camlock/contrib/serf/buckets/ssl_buckets.c projects/camlock/contrib/serf/build/gen_def.py projects/camlock/contrib/serf/context.c projects/camlock/contrib/serf/incoming.c projects/camlock/contrib/serf/outgoing.c projects/camlock/contrib/serf/serf.h projects/camlock/contrib/serf/serf_bucket_types.h projects/camlock/contrib/serf/serf_bucket_util.h projects/camlock/contrib/serf/serf_private.h projects/camlock/contrib/serf/ssltunnel.c projects/camlock/contrib/subversion/CHANGES projects/camlock/contrib/subversion/Makefile.in projects/camlock/contrib/subversion/build-outputs.mk projects/camlock/contrib/subversion/configure projects/camlock/contrib/subversion/configure.ac projects/camlock/contrib/subversion/get-deps.sh projects/camlock/contrib/subversion/subversion/include/private/svn_client_private.h projects/camlock/contrib/subversion/subversion/include/private/svn_io_private.h projects/camlock/contrib/subversion/subversion/include/private/svn_ra_private.h projects/camlock/contrib/subversion/subversion/include/svn_version.h projects/camlock/contrib/subversion/subversion/libsvn_client/client.h projects/camlock/contrib/subversion/subversion/libsvn_client/commit.c projects/camlock/contrib/subversion/subversion/libsvn_client/copy.c projects/camlock/contrib/subversion/subversion/libsvn_client/diff.c projects/camlock/contrib/subversion/subversion/libsvn_client/diff_local.c projects/camlock/contrib/subversion/subversion/libsvn_client/log.c projects/camlock/contrib/subversion/subversion/libsvn_client/merge.c projects/camlock/contrib/subversion/subversion/libsvn_client/mergeinfo.c projects/camlock/contrib/subversion/subversion/libsvn_client/ra.c projects/camlock/contrib/subversion/subversion/libsvn_client/switch.c projects/camlock/contrib/subversion/subversion/libsvn_delta/compat.c projects/camlock/contrib/subversion/subversion/libsvn_fs_base/bdb/env.c projects/camlock/contrib/subversion/subversion/libsvn_fs_base/tree.c projects/camlock/contrib/subversion/subversion/libsvn_fs_fs/fs_fs.c projects/camlock/contrib/subversion/subversion/libsvn_fs_fs/rep-cache-db.h projects/camlock/contrib/subversion/subversion/libsvn_fs_fs/tree.c projects/camlock/contrib/subversion/subversion/libsvn_ra/ra_loader.c projects/camlock/contrib/subversion/subversion/libsvn_ra/util.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/blame.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/commit.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/getdate.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/getlocations.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/getlocationsegments.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/getlocks.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/inherited_props.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/log.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/mergeinfo.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/options.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/property.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/ra_serf.h projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/replay.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/serf.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/update.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/util.c projects/camlock/contrib/subversion/subversion/libsvn_ra_serf/xml.c projects/camlock/contrib/subversion/subversion/libsvn_ra_svn/client.c projects/camlock/contrib/subversion/subversion/libsvn_ra_svn/protocol projects/camlock/contrib/subversion/subversion/libsvn_repos/fs-wrap.c projects/camlock/contrib/subversion/subversion/libsvn_subr/config_file.c projects/camlock/contrib/subversion/subversion/libsvn_subr/gpg_agent.c projects/camlock/contrib/subversion/subversion/libsvn_subr/internal_statements.h projects/camlock/contrib/subversion/subversion/libsvn_subr/io.c projects/camlock/contrib/subversion/subversion/libsvn_subr/named_atomic.c projects/camlock/contrib/subversion/subversion/libsvn_subr/sqlite.c projects/camlock/contrib/subversion/subversion/libsvn_subr/stream.c projects/camlock/contrib/subversion/subversion/libsvn_subr/subst.c projects/camlock/contrib/subversion/subversion/libsvn_subr/utf.c projects/camlock/contrib/subversion/subversion/libsvn_wc/adm_ops.c projects/camlock/contrib/subversion/subversion/libsvn_wc/crop.c projects/camlock/contrib/subversion/subversion/libsvn_wc/externals.c projects/camlock/contrib/subversion/subversion/libsvn_wc/update_editor.c projects/camlock/contrib/subversion/subversion/libsvn_wc/upgrade.c projects/camlock/contrib/subversion/subversion/libsvn_wc/wc-checks.h projects/camlock/contrib/subversion/subversion/libsvn_wc/wc-metadata.h projects/camlock/contrib/subversion/subversion/libsvn_wc/wc-queries.h projects/camlock/contrib/subversion/subversion/libsvn_wc/wc-queries.sql projects/camlock/contrib/subversion/subversion/libsvn_wc/wc_db.c projects/camlock/contrib/subversion/subversion/libsvn_wc/wc_db.h projects/camlock/contrib/subversion/subversion/libsvn_wc/wc_db_update_move.c projects/camlock/contrib/subversion/subversion/libsvn_wc/wc_db_wcroot.c projects/camlock/contrib/subversion/subversion/libsvn_wc/workqueue.c projects/camlock/contrib/subversion/subversion/svn/cl.h projects/camlock/contrib/subversion/subversion/svn/conflict-callbacks.c projects/camlock/contrib/subversion/subversion/svn/file-merge.c projects/camlock/contrib/subversion/subversion/svn/merge-cmd.c projects/camlock/contrib/subversion/subversion/svn/mergeinfo-cmd.c projects/camlock/contrib/subversion/subversion/svn/svn.c projects/camlock/contrib/subversion/subversion/svn/util.c projects/camlock/contrib/subversion/subversion/svnadmin/svnadmin.c projects/camlock/contrib/subversion/subversion/svnlook/svnlook.c projects/camlock/contrib/subversion/subversion/svnserve/serve.c projects/camlock/contrib/subversion/win-tests.py projects/camlock/contrib/tcpdump/tcpdump.c projects/camlock/contrib/tzdata/africa projects/camlock/contrib/tzdata/asia projects/camlock/contrib/tzdata/australasia projects/camlock/contrib/tzdata/europe projects/camlock/contrib/tzdata/southamerica projects/camlock/contrib/tzdata/zone.tab projects/camlock/contrib/wpa/COPYING projects/camlock/contrib/wpa/README projects/camlock/contrib/wpa/hostapd/ChangeLog projects/camlock/contrib/wpa/hostapd/README projects/camlock/contrib/wpa/hostapd/README-WPS projects/camlock/contrib/wpa/hostapd/config_file.c (contents, props changed) projects/camlock/contrib/wpa/hostapd/config_file.h (contents, props changed) projects/camlock/contrib/wpa/hostapd/ctrl_iface.c (contents, props changed) projects/camlock/contrib/wpa/hostapd/ctrl_iface.h (contents, props changed) projects/camlock/contrib/wpa/hostapd/defconfig projects/camlock/contrib/wpa/hostapd/dump_state.c (contents, props changed) projects/camlock/contrib/wpa/hostapd/dump_state.h (contents, props changed) projects/camlock/contrib/wpa/hostapd/eap_register.c (contents, props changed) projects/camlock/contrib/wpa/hostapd/eap_register.h (contents, props changed) projects/camlock/contrib/wpa/hostapd/hlr_auc_gw.c (contents, props changed) projects/camlock/contrib/wpa/hostapd/hostapd.conf (contents, props changed) projects/camlock/contrib/wpa/hostapd/hostapd.eap_user projects/camlock/contrib/wpa/hostapd/hostapd_cli.c (contents, props changed) projects/camlock/contrib/wpa/hostapd/main.c (contents, props changed) projects/camlock/contrib/wpa/hostapd/nt_password_hash.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/accounting.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/accounting.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_config.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_config.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_drv_ops.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_drv_ops.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_list.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_list.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_mlme.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ap_mlme.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/authsrv.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/authsrv.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/beacon.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/beacon.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ctrl_iface_ap.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ctrl_iface_ap.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/drv_callbacks.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/hostapd.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/hostapd.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/hw_features.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/hw_features.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/iapp.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/iapp.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ieee802_11.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ieee802_11.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ieee802_11_auth.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ieee802_11_auth.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/ieee802_11_ht.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ieee802_1x.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/ieee802_1x.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/peerkey_auth.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/pmksa_cache_auth.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/pmksa_cache_auth.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/preauth_auth.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/preauth_auth.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/sta_info.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/sta_info.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/tkip_countermeasures.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/tkip_countermeasures.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/utils.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/vlan_init.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/wmm.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth_ft.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth_glue.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth_glue.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth_i.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth_ie.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/wpa_auth_ie.h (contents, props changed) projects/camlock/contrib/wpa/src/ap/wps_hostapd.c (contents, props changed) projects/camlock/contrib/wpa/src/ap/wps_hostapd.h (contents, props changed) projects/camlock/contrib/wpa/src/common/defs.h projects/camlock/contrib/wpa/src/common/eapol_common.h projects/camlock/contrib/wpa/src/common/ieee802_11_common.c projects/camlock/contrib/wpa/src/common/ieee802_11_common.h projects/camlock/contrib/wpa/src/common/ieee802_11_defs.h projects/camlock/contrib/wpa/src/common/privsep_commands.h projects/camlock/contrib/wpa/src/common/version.h projects/camlock/contrib/wpa/src/common/wpa_common.c projects/camlock/contrib/wpa/src/common/wpa_common.h projects/camlock/contrib/wpa/src/common/wpa_ctrl.c projects/camlock/contrib/wpa/src/common/wpa_ctrl.h projects/camlock/contrib/wpa/src/crypto/aes-cbc.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-ctr.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-eax.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-encblock.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-internal-dec.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-internal-enc.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-internal.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-omac1.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-unwrap.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes-wrap.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes.h projects/camlock/contrib/wpa/src/crypto/aes_i.h (contents, props changed) projects/camlock/contrib/wpa/src/crypto/aes_wrap.h projects/camlock/contrib/wpa/src/crypto/crypto.h projects/camlock/contrib/wpa/src/crypto/crypto_cryptoapi.c projects/camlock/contrib/wpa/src/crypto/crypto_gnutls.c projects/camlock/contrib/wpa/src/crypto/crypto_internal-cipher.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/crypto_internal-modexp.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/crypto_internal-rsa.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/crypto_internal.c projects/camlock/contrib/wpa/src/crypto/crypto_libtomcrypt.c projects/camlock/contrib/wpa/src/crypto/crypto_none.c projects/camlock/contrib/wpa/src/crypto/crypto_nss.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/crypto_openssl.c projects/camlock/contrib/wpa/src/crypto/des-internal.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/des_i.h (contents, props changed) projects/camlock/contrib/wpa/src/crypto/dh_group5.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/dh_group5.h (contents, props changed) projects/camlock/contrib/wpa/src/crypto/dh_groups.c projects/camlock/contrib/wpa/src/crypto/dh_groups.h projects/camlock/contrib/wpa/src/crypto/fips_prf_cryptoapi.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/fips_prf_gnutls.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/fips_prf_internal.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/fips_prf_nss.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/fips_prf_openssl.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/md4-internal.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/md5-internal.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/md5.c projects/camlock/contrib/wpa/src/crypto/md5.h projects/camlock/contrib/wpa/src/crypto/md5_i.h (contents, props changed) projects/camlock/contrib/wpa/src/crypto/milenage.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/milenage.h (contents, props changed) projects/camlock/contrib/wpa/src/crypto/ms_funcs.c projects/camlock/contrib/wpa/src/crypto/ms_funcs.h projects/camlock/contrib/wpa/src/crypto/rc4.c projects/camlock/contrib/wpa/src/crypto/sha1-internal.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/sha1-pbkdf2.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/sha1-tlsprf.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/sha1-tprf.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/sha1.c projects/camlock/contrib/wpa/src/crypto/sha1.h projects/camlock/contrib/wpa/src/crypto/sha1_i.h (contents, props changed) projects/camlock/contrib/wpa/src/crypto/sha256-internal.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/sha256.c projects/camlock/contrib/wpa/src/crypto/sha256.h projects/camlock/contrib/wpa/src/crypto/tls.h projects/camlock/contrib/wpa/src/crypto/tls_gnutls.c projects/camlock/contrib/wpa/src/crypto/tls_internal.c projects/camlock/contrib/wpa/src/crypto/tls_none.c projects/camlock/contrib/wpa/src/crypto/tls_nss.c (contents, props changed) projects/camlock/contrib/wpa/src/crypto/tls_openssl.c projects/camlock/contrib/wpa/src/crypto/tls_schannel.c projects/camlock/contrib/wpa/src/drivers/driver.h projects/camlock/contrib/wpa/src/drivers/driver_ndis.c projects/camlock/contrib/wpa/src/drivers/driver_ndis.h projects/camlock/contrib/wpa/src/drivers/driver_ndis_.c projects/camlock/contrib/wpa/src/drivers/driver_wired.c projects/camlock/contrib/wpa/src/drivers/drivers.c projects/camlock/contrib/wpa/src/drivers/ndis_events.c projects/camlock/contrib/wpa/src/eap_common/chap.c projects/camlock/contrib/wpa/src/eap_common/chap.h projects/camlock/contrib/wpa/src/eap_common/eap_common.c projects/camlock/contrib/wpa/src/eap_common/eap_common.h projects/camlock/contrib/wpa/src/eap_common/eap_defs.h projects/camlock/contrib/wpa/src/eap_common/eap_fast_common.c projects/camlock/contrib/wpa/src/eap_common/eap_fast_common.h projects/camlock/contrib/wpa/src/eap_common/eap_gpsk_common.c projects/camlock/contrib/wpa/src/eap_common/eap_gpsk_common.h projects/camlock/contrib/wpa/src/eap_common/eap_ikev2_common.c projects/camlock/contrib/wpa/src/eap_common/eap_ikev2_common.h projects/camlock/contrib/wpa/src/eap_common/eap_pax_common.c projects/camlock/contrib/wpa/src/eap_common/eap_pax_common.h projects/camlock/contrib/wpa/src/eap_common/eap_peap_common.c projects/camlock/contrib/wpa/src/eap_common/eap_peap_common.h projects/camlock/contrib/wpa/src/eap_common/eap_psk_common.c projects/camlock/contrib/wpa/src/eap_common/eap_psk_common.h projects/camlock/contrib/wpa/src/eap_common/eap_sake_common.c projects/camlock/contrib/wpa/src/eap_common/eap_sake_common.h projects/camlock/contrib/wpa/src/eap_common/eap_sim_common.c projects/camlock/contrib/wpa/src/eap_common/eap_sim_common.h projects/camlock/contrib/wpa/src/eap_common/eap_tlv_common.h projects/camlock/contrib/wpa/src/eap_common/eap_ttls.h projects/camlock/contrib/wpa/src/eap_common/eap_wsc_common.c projects/camlock/contrib/wpa/src/eap_common/eap_wsc_common.h projects/camlock/contrib/wpa/src/eap_common/ikev2_common.c projects/camlock/contrib/wpa/src/eap_common/ikev2_common.h projects/camlock/contrib/wpa/src/eap_peer/eap.c projects/camlock/contrib/wpa/src/eap_peer/eap.h projects/camlock/contrib/wpa/src/eap_peer/eap_aka.c projects/camlock/contrib/wpa/src/eap_peer/eap_config.h projects/camlock/contrib/wpa/src/eap_peer/eap_fast.c projects/camlock/contrib/wpa/src/eap_peer/eap_fast_pac.c projects/camlock/contrib/wpa/src/eap_peer/eap_fast_pac.h projects/camlock/contrib/wpa/src/eap_peer/eap_gpsk.c projects/camlock/contrib/wpa/src/eap_peer/eap_gtc.c projects/camlock/contrib/wpa/src/eap_peer/eap_i.h projects/camlock/contrib/wpa/src/eap_peer/eap_ikev2.c projects/camlock/contrib/wpa/src/eap_peer/eap_leap.c projects/camlock/contrib/wpa/src/eap_peer/eap_md5.c projects/camlock/contrib/wpa/src/eap_peer/eap_methods.c projects/camlock/contrib/wpa/src/eap_peer/eap_methods.h projects/camlock/contrib/wpa/src/eap_peer/eap_mschapv2.c projects/camlock/contrib/wpa/src/eap_peer/eap_otp.c projects/camlock/contrib/wpa/src/eap_peer/eap_pax.c projects/camlock/contrib/wpa/src/eap_peer/eap_peap.c projects/camlock/contrib/wpa/src/eap_peer/eap_psk.c projects/camlock/contrib/wpa/src/eap_peer/eap_sake.c projects/camlock/contrib/wpa/src/eap_peer/eap_sim.c projects/camlock/contrib/wpa/src/eap_peer/eap_tls.c projects/camlock/contrib/wpa/src/eap_peer/eap_tls_common.c projects/camlock/contrib/wpa/src/eap_peer/eap_tls_common.h projects/camlock/contrib/wpa/src/eap_peer/eap_tnc.c projects/camlock/contrib/wpa/src/eap_peer/eap_ttls.c projects/camlock/contrib/wpa/src/eap_peer/eap_vendor_test.c projects/camlock/contrib/wpa/src/eap_peer/eap_wsc.c projects/camlock/contrib/wpa/src/eap_peer/ikev2.c projects/camlock/contrib/wpa/src/eap_peer/ikev2.h projects/camlock/contrib/wpa/src/eap_peer/mschapv2.c projects/camlock/contrib/wpa/src/eap_peer/mschapv2.h projects/camlock/contrib/wpa/src/eap_peer/tncc.c projects/camlock/contrib/wpa/src/eap_peer/tncc.h projects/camlock/contrib/wpa/src/eap_server/eap.h projects/camlock/contrib/wpa/src/eap_server/eap_i.h projects/camlock/contrib/wpa/src/eap_server/eap_methods.h projects/camlock/contrib/wpa/src/eap_server/eap_server.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_aka.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_fast.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_gpsk.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_gtc.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_identity.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_ikev2.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_md5.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_methods.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_mschapv2.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_pax.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_peap.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_psk.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_sake.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_sim.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_tls.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_tls_common.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_tnc.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_ttls.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_vendor_test.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_server_wsc.c (contents, props changed) projects/camlock/contrib/wpa/src/eap_server/eap_sim_db.c projects/camlock/contrib/wpa/src/eap_server/eap_sim_db.h projects/camlock/contrib/wpa/src/eap_server/eap_tls_common.h projects/camlock/contrib/wpa/src/eap_server/ikev2.c projects/camlock/contrib/wpa/src/eap_server/ikev2.h projects/camlock/contrib/wpa/src/eap_server/tncs.c projects/camlock/contrib/wpa/src/eap_server/tncs.h projects/camlock/contrib/wpa/src/eapol_auth/eapol_auth_dump.c (contents, props changed) projects/camlock/contrib/wpa/src/eapol_auth/eapol_auth_sm.c (contents, props changed) projects/camlock/contrib/wpa/src/eapol_auth/eapol_auth_sm.h (contents, props changed) projects/camlock/contrib/wpa/src/eapol_auth/eapol_auth_sm_i.h (contents, props changed) projects/camlock/contrib/wpa/src/eapol_supp/eapol_supp_sm.c projects/camlock/contrib/wpa/src/eapol_supp/eapol_supp_sm.h projects/camlock/contrib/wpa/src/l2_packet/l2_packet.h projects/camlock/contrib/wpa/src/l2_packet/l2_packet_freebsd.c projects/camlock/contrib/wpa/src/l2_packet/l2_packet_ndis.c projects/camlock/contrib/wpa/src/l2_packet/l2_packet_none.c projects/camlock/contrib/wpa/src/radius/radius.c projects/camlock/contrib/wpa/src/radius/radius.h projects/camlock/contrib/wpa/src/radius/radius_client.c projects/camlock/contrib/wpa/src/radius/radius_client.h projects/camlock/contrib/wpa/src/radius/radius_server.c projects/camlock/contrib/wpa/src/radius/radius_server.h projects/camlock/contrib/wpa/src/rsn_supp/peerkey.c projects/camlock/contrib/wpa/src/rsn_supp/peerkey.h projects/camlock/contrib/wpa/src/rsn_supp/pmksa_cache.c projects/camlock/contrib/wpa/src/rsn_supp/pmksa_cache.h projects/camlock/contrib/wpa/src/rsn_supp/preauth.c projects/camlock/contrib/wpa/src/rsn_supp/preauth.h projects/camlock/contrib/wpa/src/rsn_supp/wpa.c projects/camlock/contrib/wpa/src/rsn_supp/wpa.h projects/camlock/contrib/wpa/src/rsn_supp/wpa_ft.c projects/camlock/contrib/wpa/src/rsn_supp/wpa_i.h projects/camlock/contrib/wpa/src/rsn_supp/wpa_ie.c projects/camlock/contrib/wpa/src/rsn_supp/wpa_ie.h projects/camlock/contrib/wpa/src/tls/asn1.c projects/camlock/contrib/wpa/src/tls/asn1.h projects/camlock/contrib/wpa/src/tls/bignum.c projects/camlock/contrib/wpa/src/tls/bignum.h projects/camlock/contrib/wpa/src/tls/libtommath.c projects/camlock/contrib/wpa/src/tls/pkcs1.c (contents, props changed) projects/camlock/contrib/wpa/src/tls/pkcs1.h (contents, props changed) projects/camlock/contrib/wpa/src/tls/pkcs5.c (contents, props changed) projects/camlock/contrib/wpa/src/tls/pkcs5.h (contents, props changed) projects/camlock/contrib/wpa/src/tls/pkcs8.c (contents, props changed) projects/camlock/contrib/wpa/src/tls/pkcs8.h (contents, props changed) projects/camlock/contrib/wpa/src/tls/rsa.c projects/camlock/contrib/wpa/src/tls/rsa.h projects/camlock/contrib/wpa/src/tls/tlsv1_client.c projects/camlock/contrib/wpa/src/tls/tlsv1_client.h projects/camlock/contrib/wpa/src/tls/tlsv1_client_i.h projects/camlock/contrib/wpa/src/tls/tlsv1_client_read.c projects/camlock/contrib/wpa/src/tls/tlsv1_client_write.c projects/camlock/contrib/wpa/src/tls/tlsv1_common.c projects/camlock/contrib/wpa/src/tls/tlsv1_common.h projects/camlock/contrib/wpa/src/tls/tlsv1_cred.c projects/camlock/contrib/wpa/src/tls/tlsv1_cred.h projects/camlock/contrib/wpa/src/tls/tlsv1_record.c projects/camlock/contrib/wpa/src/tls/tlsv1_record.h projects/camlock/contrib/wpa/src/tls/tlsv1_server.c projects/camlock/contrib/wpa/src/tls/tlsv1_server.h projects/camlock/contrib/wpa/src/tls/tlsv1_server_i.h projects/camlock/contrib/wpa/src/tls/tlsv1_server_read.c projects/camlock/contrib/wpa/src/tls/tlsv1_server_write.c projects/camlock/contrib/wpa/src/tls/x509v3.c projects/camlock/contrib/wpa/src/tls/x509v3.h projects/camlock/contrib/wpa/src/utils/base64.c projects/camlock/contrib/wpa/src/utils/base64.h projects/camlock/contrib/wpa/src/utils/build_config.h projects/camlock/contrib/wpa/src/utils/common.c projects/camlock/contrib/wpa/src/utils/common.h projects/camlock/contrib/wpa/src/utils/eloop.c projects/camlock/contrib/wpa/src/utils/eloop.h projects/camlock/contrib/wpa/src/utils/eloop_none.c projects/camlock/contrib/wpa/src/utils/eloop_win.c projects/camlock/contrib/wpa/src/utils/includes.h projects/camlock/contrib/wpa/src/utils/ip_addr.c projects/camlock/contrib/wpa/src/utils/ip_addr.h projects/camlock/contrib/wpa/src/utils/list.h (contents, props changed) projects/camlock/contrib/wpa/src/utils/os.h projects/camlock/contrib/wpa/src/utils/os_internal.c projects/camlock/contrib/wpa/src/utils/os_none.c projects/camlock/contrib/wpa/src/utils/os_unix.c projects/camlock/contrib/wpa/src/utils/os_win32.c projects/camlock/contrib/wpa/src/utils/pcsc_funcs.c projects/camlock/contrib/wpa/src/utils/pcsc_funcs.h projects/camlock/contrib/wpa/src/utils/radiotap.h (contents, props changed) projects/camlock/contrib/wpa/src/utils/radiotap_iter.h (contents, props changed) projects/camlock/contrib/wpa/src/utils/state_machine.h projects/camlock/contrib/wpa/src/utils/trace.c (contents, props changed) projects/camlock/contrib/wpa/src/utils/trace.h (contents, props changed) projects/camlock/contrib/wpa/src/utils/uuid.c projects/camlock/contrib/wpa/src/utils/uuid.h projects/camlock/contrib/wpa/src/utils/wpa_debug.c projects/camlock/contrib/wpa/src/utils/wpa_debug.h projects/camlock/contrib/wpa/src/utils/wpabuf.c projects/camlock/contrib/wpa/src/utils/wpabuf.h projects/camlock/contrib/wpa/src/wps/http_client.c (contents, props changed) projects/camlock/contrib/wpa/src/wps/http_client.h (contents, props changed) projects/camlock/contrib/wpa/src/wps/http_server.c (contents, props changed) projects/camlock/contrib/wpa/src/wps/http_server.h (contents, props changed) projects/camlock/contrib/wpa/src/wps/httpread.c projects/camlock/contrib/wpa/src/wps/httpread.h projects/camlock/contrib/wpa/src/wps/ndef.c (contents, props changed) projects/camlock/contrib/wpa/src/wps/upnp_xml.c (contents, props changed) projects/camlock/contrib/wpa/src/wps/upnp_xml.h (contents, props changed) projects/camlock/contrib/wpa/src/wps/wps.c projects/camlock/contrib/wpa/src/wps/wps.h projects/camlock/contrib/wpa/src/wps/wps_attr_build.c projects/camlock/contrib/wpa/src/wps/wps_attr_parse.c projects/camlock/contrib/wpa/src/wps/wps_attr_process.c projects/camlock/contrib/wpa/src/wps/wps_common.c projects/camlock/contrib/wpa/src/wps/wps_defs.h projects/camlock/contrib/wpa/src/wps/wps_dev_attr.c projects/camlock/contrib/wpa/src/wps/wps_dev_attr.h projects/camlock/contrib/wpa/src/wps/wps_enrollee.c projects/camlock/contrib/wpa/src/wps/wps_er.c (contents, props changed) projects/camlock/contrib/wpa/src/wps/wps_er.h (contents, props changed) projects/camlock/contrib/wpa/src/wps/wps_er_ssdp.c (contents, props changed) projects/camlock/contrib/wpa/src/wps/wps_i.h projects/camlock/contrib/wpa/src/wps/wps_registrar.c projects/camlock/contrib/wpa/src/wps/wps_upnp.c projects/camlock/contrib/wpa/src/wps/wps_upnp.h projects/camlock/contrib/wpa/src/wps/wps_upnp_ap.c (contents, props changed) projects/camlock/contrib/wpa/src/wps/wps_upnp_event.c projects/camlock/contrib/wpa/src/wps/wps_upnp_i.h projects/camlock/contrib/wpa/src/wps/wps_upnp_ssdp.c projects/camlock/contrib/wpa/src/wps/wps_upnp_web.c projects/camlock/contrib/wpa/wpa_supplicant/ChangeLog projects/camlock/contrib/wpa/wpa_supplicant/README projects/camlock/contrib/wpa/wpa_supplicant/README-WPS projects/camlock/contrib/wpa/wpa_supplicant/ap.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/ap.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/bgscan.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/bgscan.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/bgscan_simple.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/blacklist.c projects/camlock/contrib/wpa/wpa_supplicant/blacklist.h projects/camlock/contrib/wpa/wpa_supplicant/bss.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/bss.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/config.c projects/camlock/contrib/wpa/wpa_supplicant/config.h projects/camlock/contrib/wpa/wpa_supplicant/config_file.c projects/camlock/contrib/wpa/wpa_supplicant/config_none.c projects/camlock/contrib/wpa/wpa_supplicant/config_ssid.h projects/camlock/contrib/wpa/wpa_supplicant/ctrl_iface.c projects/camlock/contrib/wpa/wpa_supplicant/ctrl_iface.h projects/camlock/contrib/wpa/wpa_supplicant/ctrl_iface_named_pipe.c projects/camlock/contrib/wpa/wpa_supplicant/ctrl_iface_udp.c projects/camlock/contrib/wpa/wpa_supplicant/ctrl_iface_unix.c projects/camlock/contrib/wpa/wpa_supplicant/dbus/Makefile (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_common.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_common.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_common_i.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_dict_helpers.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_dict_helpers.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_wps.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_helpers.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_helpers.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_new_introspect.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_old.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_old.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers_wps.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/defconfig projects/camlock/contrib/wpa/wpa_supplicant/driver_i.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/eap_register.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/eapol_test.c projects/camlock/contrib/wpa/wpa_supplicant/events.c projects/camlock/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-signals.py (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/ibss_rsn.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/ibss_rsn.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/main.c projects/camlock/contrib/wpa/wpa_supplicant/main_none.c projects/camlock/contrib/wpa/wpa_supplicant/notify.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/notify.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/preauth_test.c projects/camlock/contrib/wpa/wpa_supplicant/scan.c projects/camlock/contrib/wpa/wpa_supplicant/scan.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/sme.c (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/sme.h (contents, props changed) projects/camlock/contrib/wpa/wpa_supplicant/tests/test_eap_sim_common.c projects/camlock/contrib/wpa/wpa_supplicant/tests/test_wpa.c projects/camlock/contrib/wpa/wpa_supplicant/wpa_cli.c projects/camlock/contrib/wpa/wpa_supplicant/wpa_passphrase.c projects/camlock/contrib/wpa/wpa_supplicant/wpa_priv.c projects/camlock/contrib/wpa/wpa_supplicant/wpa_supplicant.c projects/camlock/contrib/wpa/wpa_supplicant/wpa_supplicant.conf projects/camlock/contrib/wpa/wpa_supplicant/wpa_supplicant_i.h projects/camlock/contrib/wpa/wpa_supplicant/wpas_glue.c projects/camlock/contrib/wpa/wpa_supplicant/wpas_glue.h projects/camlock/contrib/wpa/wpa_supplicant/wps_supplicant.c projects/camlock/contrib/wpa/wpa_supplicant/wps_supplicant.h projects/camlock/etc/Makefile projects/camlock/etc/devd/usb.conf projects/camlock/etc/mtree/BSD.usr.dist projects/camlock/etc/network.subr projects/camlock/etc/newsyslog.conf projects/camlock/etc/rc.d/netif projects/camlock/etc/rc.d/pfsync projects/camlock/etc/rc.d/zfs projects/camlock/etc/rc.resume projects/camlock/etc/syslog.conf projects/camlock/gnu/usr.bin/patch/Makefile projects/camlock/gnu/usr.bin/patch/pch.c projects/camlock/include/iconv.h projects/camlock/include/stdlib.h projects/camlock/lib/libc++/Makefile projects/camlock/lib/libc/arm/gen/sigsetjmp.S projects/camlock/lib/libc/gen/sysctl.3 projects/camlock/lib/libc/gen/wordexp.c projects/camlock/lib/libc/iconv/citrus_csmapper.c projects/camlock/lib/libc/iconv/citrus_iconv.c projects/camlock/lib/libc/iconv/citrus_iconv.h projects/camlock/lib/libc/iconv/citrus_iconv_local.h projects/camlock/lib/libc/iconv/citrus_lock.h projects/camlock/lib/libc/iconv/citrus_mapper.c projects/camlock/lib/libc/iconv/citrus_none.c projects/camlock/lib/libc/iconv/citrus_stdenc.h projects/camlock/lib/libc/iconv/citrus_stdenc_local.h projects/camlock/lib/libc/iconv/citrus_stdenc_template.h projects/camlock/lib/libc/iconv/iconv.c projects/camlock/lib/libc/locale/cXXrtomb_iconv.h projects/camlock/lib/libc/locale/mbrtocXX_iconv.h projects/camlock/lib/libc/net/getaddrinfo.3 projects/camlock/lib/libc/net/getnameinfo.3 projects/camlock/lib/libc/net/sctp_sys_calls.c projects/camlock/lib/libc/sparc64/gen/makecontext.c projects/camlock/lib/libc/sparc64/gen/signalcontext.c projects/camlock/lib/libc/stdio/fwrite.c projects/camlock/lib/libc/stdio/mktemp.3 projects/camlock/lib/libc/stdlib/getenv.c projects/camlock/lib/libc/stdlib/rand.c projects/camlock/lib/libc/sys/kqueue.2 projects/camlock/lib/libc/sys/ptrace.2 projects/camlock/lib/libfetch/common.c projects/camlock/lib/libfetch/common.h projects/camlock/lib/libfetch/fetch.3 projects/camlock/lib/libfetch/http.c projects/camlock/lib/libgeom/geom_xml2tree.c projects/camlock/lib/libgeom/libgeom.h projects/camlock/lib/libiconv_modules/BIG5/citrus_big5.c projects/camlock/lib/libiconv_modules/DECHanyu/citrus_dechanyu.c projects/camlock/lib/libiconv_modules/EUC/citrus_euc.c projects/camlock/lib/libiconv_modules/EUCTW/citrus_euctw.c projects/camlock/lib/libiconv_modules/GBK2K/citrus_gbk2k.c projects/camlock/lib/libiconv_modules/HZ/citrus_hz.c projects/camlock/lib/libiconv_modules/ISO2022/citrus_iso2022.c projects/camlock/lib/libiconv_modules/JOHAB/citrus_johab.c projects/camlock/lib/libiconv_modules/MSKanji/citrus_mskanji.c projects/camlock/lib/libiconv_modules/UES/citrus_ues.c projects/camlock/lib/libiconv_modules/UTF1632/citrus_utf1632.c projects/camlock/lib/libiconv_modules/UTF7/citrus_utf7.c projects/camlock/lib/libiconv_modules/UTF8/citrus_utf8.c projects/camlock/lib/libiconv_modules/VIQR/citrus_viqr.c projects/camlock/lib/libiconv_modules/ZW/citrus_zw.c projects/camlock/lib/libiconv_modules/iconv_none/citrus_iconv_none.c projects/camlock/lib/libiconv_modules/iconv_std/citrus_iconv_std.c projects/camlock/lib/libkvm/Makefile projects/camlock/lib/libkvm/kvm.h projects/camlock/lib/libstand/nfs.c projects/camlock/lib/libthread_db/arch/sparc64/libpthread_md.c projects/camlock/lib/libusb/Makefile projects/camlock/lib/libusb/libusb20.c projects/camlock/lib/libusb/libusb20_ugen20.c projects/camlock/lib/libusb/libusb_global_linux.h projects/camlock/lib/msun/src/math.h projects/camlock/release/Makefile projects/camlock/release/ia64/mkisoimages.sh projects/camlock/release/release.conf.sample projects/camlock/release/release.sh projects/camlock/release/sparc64/mkisoimages.sh projects/camlock/sbin/devd/devd.8 projects/camlock/sbin/devd/devd.cc projects/camlock/sbin/devfs/devfs.8 projects/camlock/sbin/dhclient/bpf.c projects/camlock/sbin/dhclient/clparse.c projects/camlock/sbin/dhclient/dhclient.c projects/camlock/sbin/dhclient/dhcpd.h projects/camlock/sbin/dhclient/packet.c projects/camlock/sbin/dhclient/privsep.c projects/camlock/sbin/dhclient/privsep.h projects/camlock/sbin/etherswitchcfg/etherswitchcfg.c projects/camlock/sbin/fsck_ffs/fsck.h projects/camlock/sbin/fsck_ffs/fsck_ffs.8 projects/camlock/sbin/fsck_ffs/fsutil.c projects/camlock/sbin/fsck_ffs/main.c projects/camlock/sbin/geom/class/part/gpart.8 projects/camlock/sbin/hastctl/hastctl.c projects/camlock/sbin/hastd/control.c projects/camlock/sbin/ifconfig/af_nd6.c projects/camlock/sbin/ipfw/ipfw.8 projects/camlock/sbin/mdconfig/mdconfig.c projects/camlock/sbin/mount/Makefile (contents, props changed) projects/camlock/sbin/mount/mount.8 projects/camlock/sbin/mount/mount.c projects/camlock/sbin/mount_nfs/mount_nfs.8 projects/camlock/sbin/nvmecontrol/Makefile projects/camlock/sbin/nvmecontrol/devlist.c projects/camlock/sbin/nvmecontrol/firmware.c projects/camlock/sbin/nvmecontrol/identify.c projects/camlock/sbin/nvmecontrol/logpage.c projects/camlock/sbin/nvmecontrol/nvmecontrol.c projects/camlock/sbin/nvmecontrol/nvmecontrol.h projects/camlock/sbin/nvmecontrol/perftest.c projects/camlock/sbin/nvmecontrol/reset.c projects/camlock/sbin/reboot/boot_i386.8 projects/camlock/sbin/recoverdisk/recoverdisk.1 projects/camlock/sbin/route/Makefile projects/camlock/sbin/route/route.c projects/camlock/sbin/swapon/swapon.c projects/camlock/share/doc/legal/Makefile projects/camlock/share/examples/kld/syscall/test/call.c projects/camlock/share/man/man4/Makefile projects/camlock/share/man/man4/ath_hal.4 projects/camlock/share/man/man4/bridge.4 projects/camlock/share/man/man4/hptiop.4 projects/camlock/share/man/man4/mfi.4 projects/camlock/share/man/man4/nvd.4 projects/camlock/share/man/man4/nvme.4 projects/camlock/share/man/man4/oce.4 projects/camlock/share/man/man4/virtio.4 projects/camlock/share/man/man4/virtio_balloon.4 projects/camlock/share/man/man4/virtio_blk.4 projects/camlock/share/man/man4/virtio_scsi.4 projects/camlock/share/man/man4/vtnet.4 projects/camlock/share/man/man5/fstab.5 projects/camlock/share/man/man5/rc.conf.5 projects/camlock/share/man/man5/src.conf.5 projects/camlock/share/man/man9/Makefile projects/camlock/share/man/man9/bus_dma.9 projects/camlock/share/man/man9/microuptime.9 projects/camlock/share/misc/committers-ports.dot projects/camlock/share/misc/committers-src.dot projects/camlock/share/mk/bsd.own.mk projects/camlock/share/monetdef/Makefile projects/camlock/sys/amd64/amd64/identcpu.c projects/camlock/sys/amd64/amd64/initcpu.c projects/camlock/sys/amd64/amd64/machdep.c projects/camlock/sys/amd64/amd64/pmap.c projects/camlock/sys/amd64/amd64/sys_machdep.c projects/camlock/sys/amd64/conf/GENERIC projects/camlock/sys/amd64/conf/NOTES projects/camlock/sys/amd64/include/md_var.h projects/camlock/sys/amd64/vmm/intel/ept.c projects/camlock/sys/amd64/vmm/intel/vmx.c projects/camlock/sys/amd64/vmm/vmm.c projects/camlock/sys/amd64/vmm/vmm_instruction_emul.c projects/camlock/sys/arm/arm/busdma_machdep-v6.c projects/camlock/sys/arm/arm/cpufunc.c projects/camlock/sys/arm/arm/db_trace.c projects/camlock/sys/arm/arm/elf_trampoline.c projects/camlock/sys/arm/arm/generic_timer.c projects/camlock/sys/arm/arm/gic.c projects/camlock/sys/arm/arm/identcpu.c projects/camlock/sys/arm/arm/pl310.c projects/camlock/sys/arm/arm/pmap-v6.c projects/camlock/sys/arm/arm/trap.c projects/camlock/sys/arm/arm/vfp.c projects/camlock/sys/arm/arm/vm_machdep.c projects/camlock/sys/arm/broadcom/bcm2835/bcm2835_fb.c projects/camlock/sys/arm/broadcom/bcm2835/bcm2835_mbox.c projects/camlock/sys/arm/broadcom/bcm2835/bcm2835_mbox.h projects/camlock/sys/arm/broadcom/bcm2835/files.bcm2835 projects/camlock/sys/arm/conf/BEAGLEBONE projects/camlock/sys/arm/conf/EFIKA_MX projects/camlock/sys/arm/conf/RPI-B projects/camlock/sys/arm/freescale/imx/console.c projects/camlock/sys/arm/include/armreg.h projects/camlock/sys/arm/include/atomic.h projects/camlock/sys/arm/include/kdb.h projects/camlock/sys/arm/include/smp.h projects/camlock/sys/arm/ti/am335x/am335x_pmic.c projects/camlock/sys/arm/ti/am335x/am335x_prcm.c projects/camlock/sys/arm/ti/am335x/am335x_pwm.c projects/camlock/sys/arm/ti/am335x/files.am335x projects/camlock/sys/arm/ti/ti_mmchs.c projects/camlock/sys/arm/ti/ti_mmchs.h projects/camlock/sys/arm/ti/ti_prcm.h projects/camlock/sys/boot/arm/uboot/Makefile projects/camlock/sys/boot/fdt/dts/am335x-evm.dts projects/camlock/sys/boot/fdt/dts/am335x.dtsi projects/camlock/sys/boot/fdt/dts/beaglebone-black.dts projects/camlock/sys/boot/fdt/dts/beaglebone.dts projects/camlock/sys/boot/ficl/loader.c projects/camlock/sys/boot/forth/loader.conf projects/camlock/sys/boot/forth/loader.rc projects/camlock/sys/boot/i386/gptboot/Makefile projects/camlock/sys/cam/ata/ata_da.c projects/camlock/sys/cam/cam_ccb.h projects/camlock/sys/cam/cam_periph.c projects/camlock/sys/cam/cam_xpt.c projects/camlock/sys/cam/ctl/ctl_backend_ramdisk.c projects/camlock/sys/cam/scsi/scsi_all.c projects/camlock/sys/cam/scsi/scsi_all.h projects/camlock/sys/cam/scsi/scsi_ch.c projects/camlock/sys/cam/scsi/scsi_da.c projects/camlock/sys/cam/scsi/scsi_xpt.c projects/camlock/sys/cddl/contrib/opensolaris/common/zfs/zfs_prop.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/dtrace/fasttrap.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dbuf.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_objset.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_send.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_tx.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_dataset.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_destroy.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa_misc.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/ddt.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_impl.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_objset.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_send.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_dataset.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_destroy.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zio_impl.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_geom.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_ioctl.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vfsops.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vnops.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_znode.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zio.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/sys/fs/zfs.h projects/camlock/sys/cddl/dev/dtrace/amd64/dis_tables.c projects/camlock/sys/cddl/dev/dtrace/amd64/dis_tables.h projects/camlock/sys/cddl/dev/dtrace/dtrace_ioctl.c projects/camlock/sys/cddl/dev/dtrace/dtrace_load.c projects/camlock/sys/cddl/dev/dtrace/dtrace_unload.c projects/camlock/sys/cddl/dev/dtrace/i386/dis_tables.c projects/camlock/sys/cddl/dev/dtrace/i386/dis_tables.h projects/camlock/sys/compat/freebsd32/freebsd32.h projects/camlock/sys/compat/freebsd32/freebsd32_misc.c projects/camlock/sys/compat/freebsd32/freebsd32_proto.h projects/camlock/sys/compat/freebsd32/freebsd32_signal.h projects/camlock/sys/compat/freebsd32/freebsd32_syscall.h projects/camlock/sys/compat/freebsd32/freebsd32_syscalls.c projects/camlock/sys/compat/freebsd32/freebsd32_sysent.c projects/camlock/sys/compat/freebsd32/freebsd32_systrace_args.c projects/camlock/sys/compat/freebsd32/syscalls.master projects/camlock/sys/compat/linux/linux_ioctl.c projects/camlock/sys/compat/linux/linux_ioctl.h projects/camlock/sys/conf/Makefile.amd64 projects/camlock/sys/conf/Makefile.arm projects/camlock/sys/conf/NOTES projects/camlock/sys/conf/WITHOUT_SOURCELESS_HOST projects/camlock/sys/conf/WITHOUT_SOURCELESS_UCODE projects/camlock/sys/conf/files projects/camlock/sys/conf/files.amd64 projects/camlock/sys/conf/files.i386 projects/camlock/sys/conf/kmod.mk projects/camlock/sys/conf/newvers.sh projects/camlock/sys/conf/options projects/camlock/sys/contrib/dev/acpica/changes.txt (contents, props changed) projects/camlock/sys/contrib/dev/acpica/common/dmextern.c projects/camlock/sys/contrib/dev/acpica/common/getopt.c projects/camlock/sys/contrib/dev/acpica/compiler/asloffset.c projects/camlock/sys/contrib/dev/acpica/compiler/asloperands.c projects/camlock/sys/contrib/dev/acpica/compiler/asloptions.c projects/camlock/sys/contrib/dev/acpica/compiler/dttemplate.c projects/camlock/sys/contrib/dev/acpica/components/executer/exoparg1.c projects/camlock/sys/contrib/dev/acpica/components/hardware/hwtimer.c projects/camlock/sys/contrib/dev/acpica/components/namespace/nspredef.c projects/camlock/sys/contrib/dev/acpica/components/namespace/nswalk.c projects/camlock/sys/contrib/dev/acpica/components/namespace/nsxfeval.c projects/camlock/sys/contrib/dev/acpica/components/tables/tbfadt.c projects/camlock/sys/contrib/dev/acpica/components/tables/tbxfroot.c projects/camlock/sys/contrib/dev/acpica/components/utilities/utglobal.c projects/camlock/sys/contrib/dev/acpica/components/utilities/utosi.c projects/camlock/sys/contrib/dev/acpica/components/utilities/utxface.c projects/camlock/sys/contrib/dev/acpica/include/acglobal.h projects/camlock/sys/contrib/dev/acpica/include/aclocal.h projects/camlock/sys/contrib/dev/acpica/include/acnamesp.h projects/camlock/sys/contrib/dev/acpica/include/acpixf.h projects/camlock/sys/contrib/dev/acpica/include/actables.h projects/camlock/sys/contrib/dev/acpica/include/actypes.h projects/camlock/sys/contrib/dev/acpica/include/acutils.h projects/camlock/sys/crypto/sha2/sha2.c projects/camlock/sys/crypto/sha2/sha2.h projects/camlock/sys/dev/acpica/acpi_pcib.c projects/camlock/sys/dev/acpica/acpi_pcib_acpi.c projects/camlock/sys/dev/acpica/acpi_resource.c projects/camlock/sys/dev/ae/if_ae.c projects/camlock/sys/dev/ae/if_aereg.h projects/camlock/sys/dev/ahci/ahci.c projects/camlock/sys/dev/ahci/ahci.h projects/camlock/sys/dev/aic7xxx/aic7xxx_pci.c projects/camlock/sys/dev/arcmsr/arcmsr.c projects/camlock/sys/dev/arcmsr/arcmsr.h projects/camlock/sys/dev/ata/ata-pci.h projects/camlock/sys/dev/ata/chipsets/ata-intel.c projects/camlock/sys/dev/ata/chipsets/ata-promise.c projects/camlock/sys/dev/bge/if_bge.c projects/camlock/sys/dev/bge/if_bgereg.h projects/camlock/sys/dev/ciss/ciss.c projects/camlock/sys/dev/cpuctl/cpuctl.c projects/camlock/sys/dev/cxgbe/adapter.h projects/camlock/sys/dev/cxgbe/common/common.h projects/camlock/sys/dev/cxgbe/common/t4_hw.c projects/camlock/sys/dev/cxgbe/common/t4_hw.h projects/camlock/sys/dev/cxgbe/common/t4_msg.h projects/camlock/sys/dev/cxgbe/common/t4_regs_values.h projects/camlock/sys/dev/cxgbe/firmware/t4fw_cfg.txt projects/camlock/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt projects/camlock/sys/dev/cxgbe/firmware/t4fw_interface.h projects/camlock/sys/dev/cxgbe/offload.h projects/camlock/sys/dev/cxgbe/t4_ioctl.h projects/camlock/sys/dev/cxgbe/t4_main.c projects/camlock/sys/dev/cxgbe/t4_sge.c projects/camlock/sys/dev/cxgbe/tom/t4_connect.c projects/camlock/sys/dev/cxgbe/tom/t4_listen.c projects/camlock/sys/dev/cxgbe/tom/t4_tom.c projects/camlock/sys/dev/cxgbe/tom/t4_tom.h projects/camlock/sys/dev/drm2/i915/i915_gem.c projects/camlock/sys/dev/drm2/i915/intel_ringbuffer.c projects/camlock/sys/dev/drm2/ttm/ttm_bo_vm.c projects/camlock/sys/dev/e1000/if_em.c projects/camlock/sys/dev/e1000/if_igb.c projects/camlock/sys/dev/e1000/if_lem.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_7240.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_8216.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_8226.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_8316.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_phy.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_reg.c projects/camlock/sys/dev/etherswitch/arswitch/arswitch_reg.h projects/camlock/sys/dev/etherswitch/arswitch/arswitchreg.h projects/camlock/sys/dev/etherswitch/arswitch/arswitchvar.h projects/camlock/sys/dev/etherswitch/etherswitch.h projects/camlock/sys/dev/etherswitch/ip17x/ip175c.c projects/camlock/sys/dev/etherswitch/ip17x/ip175d.c projects/camlock/sys/dev/etherswitch/ip17x/ip17x_vlans.c projects/camlock/sys/dev/etherswitch/rtl8366/rtl8366rb.c projects/camlock/sys/dev/filemon/filemon.c projects/camlock/sys/dev/hme/if_hme.c projects/camlock/sys/dev/hpt27xx/hpt27xx_config.c projects/camlock/sys/dev/hptiop/hptiop.c projects/camlock/sys/dev/ichsmb/ichsmb_pci.c projects/camlock/sys/dev/ichwd/ichwd.c projects/camlock/sys/dev/ichwd/ichwd.h projects/camlock/sys/dev/ipmi/ipmi_isa.c projects/camlock/sys/dev/ipmi/ipmivars.h projects/camlock/sys/dev/isp/isp.c projects/camlock/sys/dev/isp/ispreg.h projects/camlock/sys/dev/iwn/if_iwn.c projects/camlock/sys/dev/iwn/if_iwnreg.h projects/camlock/sys/dev/iwn/if_iwnvar.h projects/camlock/sys/dev/ixgb/if_ixgb.c projects/camlock/sys/dev/ixgb/ixgb_ids.h projects/camlock/sys/dev/ixgbe/ixgbe.c projects/camlock/sys/dev/ixgbe/ixv.c projects/camlock/sys/dev/mem/memdev.c projects/camlock/sys/dev/mfi/mfi.c projects/camlock/sys/dev/mii/brgphy.c projects/camlock/sys/dev/mii/miidevs projects/camlock/sys/dev/mmc/mmcsd.c projects/camlock/sys/dev/mps/mps.c projects/camlock/sys/dev/mps/mps_config.c projects/camlock/sys/dev/mps/mps_mapping.c projects/camlock/sys/dev/mps/mps_pci.c projects/camlock/sys/dev/mps/mps_sas.c projects/camlock/sys/dev/mps/mps_sas.h projects/camlock/sys/dev/mps/mps_sas_lsi.c projects/camlock/sys/dev/mps/mps_table.c projects/camlock/sys/dev/mps/mps_user.c projects/camlock/sys/dev/mps/mpsvar.h projects/camlock/sys/dev/nvd/nvd.c projects/camlock/sys/dev/nvme/nvme.c projects/camlock/sys/dev/nvme/nvme.h projects/camlock/sys/dev/nvme/nvme_ctrlr.c projects/camlock/sys/dev/nvme/nvme_ctrlr_cmd.c projects/camlock/sys/dev/nvme/nvme_ns.c projects/camlock/sys/dev/nvme/nvme_ns_cmd.c projects/camlock/sys/dev/nvme/nvme_private.h projects/camlock/sys/dev/nvme/nvme_qpair.c projects/camlock/sys/dev/nvme/nvme_sysctl.c projects/camlock/sys/dev/nvme/nvme_test.c projects/camlock/sys/dev/oce/oce_hw.c projects/camlock/sys/dev/oce/oce_hw.h projects/camlock/sys/dev/oce/oce_if.c projects/camlock/sys/dev/oce/oce_if.h projects/camlock/sys/dev/oce/oce_mbox.c projects/camlock/sys/dev/oce/oce_queue.c projects/camlock/sys/dev/oce/oce_sysctl.c projects/camlock/sys/dev/oce/oce_util.c projects/camlock/sys/dev/pci/pci.c projects/camlock/sys/dev/pci/pci_pci.c projects/camlock/sys/dev/pci/pci_private.h projects/camlock/sys/dev/pci/pcib_private.h projects/camlock/sys/dev/pci/pcivar.h projects/camlock/sys/dev/qlxgbe/ql_os.c projects/camlock/sys/dev/ral/rt2560.c projects/camlock/sys/dev/ral/rt2661.c projects/camlock/sys/dev/ral/rt2860.c projects/camlock/sys/dev/random/probe.c projects/camlock/sys/dev/random/randomdev.c projects/camlock/sys/dev/random/randomdev.h projects/camlock/sys/dev/random/randomdev_soft.c projects/camlock/sys/dev/scc/scc_bfe.h projects/camlock/sys/dev/scc/scc_bfe_ebus.c projects/camlock/sys/dev/scc/scc_bfe_macio.c projects/camlock/sys/dev/scc/scc_bfe_quicc.c projects/camlock/sys/dev/scc/scc_bfe_sbus.c projects/camlock/sys/dev/scc/scc_core.c projects/camlock/sys/dev/scc/scc_dev_quicc.c projects/camlock/sys/dev/scc/scc_dev_sab82532.c projects/camlock/sys/dev/scc/scc_dev_z8530.c projects/camlock/sys/dev/sound/pci/ds1.c projects/camlock/sys/dev/sound/pci/hda/hdaa_patches.c projects/camlock/sys/dev/sound/pci/hda/hdac.h projects/camlock/sys/dev/syscons/syscons.c projects/camlock/sys/dev/uart/uart_bus_pci.c projects/camlock/sys/dev/uart/uart_core.c projects/camlock/sys/dev/usb/controller/musb_otg.c projects/camlock/sys/dev/usb/controller/musb_otg.h projects/camlock/sys/dev/usb/controller/musb_otg_atmelarm.c projects/camlock/sys/dev/usb/controller/xhci.c projects/camlock/sys/dev/usb/controller/xhci.h projects/camlock/sys/dev/usb/controller/xhci_pci.c projects/camlock/sys/dev/usb/input/ukbd.c projects/camlock/sys/dev/usb/net/if_ipheth.c projects/camlock/sys/dev/usb/quirk/usb_quirk.c projects/camlock/sys/dev/usb/usb_pf.c projects/camlock/sys/dev/usb/usbdevs projects/camlock/sys/dev/usb/wlan/if_rumvar.h projects/camlock/sys/dev/usb/wlan/if_runvar.h projects/camlock/sys/dev/usb/wlan/if_uathvar.h projects/camlock/sys/dev/usb/wlan/if_upgtvar.h projects/camlock/sys/dev/usb/wlan/if_uralvar.h projects/camlock/sys/dev/usb/wlan/if_urtwn.c projects/camlock/sys/dev/usb/wlan/if_urtwnreg.h projects/camlock/sys/dev/usb/wlan/if_urtwvar.h projects/camlock/sys/dev/usb/wlan/if_zydreg.h projects/camlock/sys/dev/virtio/balloon/virtio_balloon.c projects/camlock/sys/dev/virtio/block/virtio_blk.c projects/camlock/sys/dev/virtio/block/virtio_blk.h projects/camlock/sys/dev/virtio/network/if_vtnet.c projects/camlock/sys/dev/virtio/network/if_vtnetvar.h projects/camlock/sys/dev/virtio/pci/virtio_pci.c projects/camlock/sys/dev/virtio/scsi/virtio_scsi.c projects/camlock/sys/dev/virtio/scsi/virtio_scsivar.h projects/camlock/sys/dev/virtio/virtio.c projects/camlock/sys/dev/virtio/virtio.h projects/camlock/sys/dev/virtio/virtio_bus_if.m projects/camlock/sys/dev/virtio/virtio_if.m projects/camlock/sys/dev/virtio/virtqueue.c projects/camlock/sys/dev/virtio/virtqueue.h projects/camlock/sys/dev/watchdog/watchdog.c projects/camlock/sys/dev/wi/if_wi.c projects/camlock/sys/fs/cd9660/iso.h projects/camlock/sys/fs/devfs/devfs_rule.c projects/camlock/sys/fs/ext2fs/ext2_dir.h projects/camlock/sys/fs/ext2fs/ext2_extern.h projects/camlock/sys/fs/ext2fs/ext2_inode_cnv.c projects/camlock/sys/fs/ext2fs/ext2_lookup.c projects/camlock/sys/fs/ext2fs/ext2_vfsops.c projects/camlock/sys/fs/ext2fs/ext2_vnops.c projects/camlock/sys/fs/ext2fs/ext2fs.h projects/camlock/sys/fs/nfs/nfs.h projects/camlock/sys/fs/nfs/nfs_commonkrpc.c projects/camlock/sys/fs/nfs/nfs_commonsubs.c projects/camlock/sys/fs/nfsclient/nfs_clport.c projects/camlock/sys/fs/nfsclient/nfs_clvfsops.c projects/camlock/sys/fs/nullfs/null_vnops.c projects/camlock/sys/fs/smbfs/smbfs_smb.c projects/camlock/sys/fs/tmpfs/tmpfs_vfsops.c projects/camlock/sys/fs/tmpfs/tmpfs_vnops.c projects/camlock/sys/gdb/gdb_cons.c projects/camlock/sys/geom/geom_disk.c projects/camlock/sys/geom/geom_disk.h projects/camlock/sys/geom/journal/g_journal.c projects/camlock/sys/geom/part/g_part.c projects/camlock/sys/geom/part/g_part_apm.c projects/camlock/sys/geom/raid/g_raid.c projects/camlock/sys/geom/raid/g_raid_ctl.c projects/camlock/sys/i386/conf/GENERIC projects/camlock/sys/i386/conf/NOTES projects/camlock/sys/i386/conf/PAE projects/camlock/sys/i386/conf/XEN projects/camlock/sys/i386/i386/identcpu.c projects/camlock/sys/i386/i386/initcpu.c projects/camlock/sys/i386/i386/pmap.c projects/camlock/sys/i386/i386/support.s projects/camlock/sys/i386/i386/symbols.raw projects/camlock/sys/i386/i386/sys_machdep.c projects/camlock/sys/i386/i386/uio_machdep.c projects/camlock/sys/i386/i386/vm_machdep.c projects/camlock/sys/i386/include/md_var.h projects/camlock/sys/ia64/ia64/mca.c projects/camlock/sys/ia64/ia64/pmap.c projects/camlock/sys/ia64/pci/pci_cfgreg.c projects/camlock/sys/kern/imgact_elf.c projects/camlock/sys/kern/init_main.c projects/camlock/sys/kern/kern_exec.c projects/camlock/sys/kern/kern_exit.c projects/camlock/sys/kern/kern_intr.c projects/camlock/sys/kern/kern_malloc.c projects/camlock/sys/kern/kern_mbuf.c projects/camlock/sys/kern/kern_ntptime.c projects/camlock/sys/kern/kern_priv.c projects/camlock/sys/kern/kern_rmlock.c projects/camlock/sys/kern/kern_sig.c projects/camlock/sys/kern/kern_synch.c projects/camlock/sys/kern/kern_time.c projects/camlock/sys/kern/kern_uuid.c projects/camlock/sys/kern/sched_4bsd.c projects/camlock/sys/kern/subr_bus.c projects/camlock/sys/kern/subr_counter.c projects/camlock/sys/kern/subr_vmem.c projects/camlock/sys/kern/subr_witness.c projects/camlock/sys/kern/sys_process.c projects/camlock/sys/kern/sysv_shm.c projects/camlock/sys/kern/uipc_mbuf.c projects/camlock/sys/kern/uipc_mqueue.c projects/camlock/sys/kern/uipc_shm.c projects/camlock/sys/kern/uipc_syscalls.c projects/camlock/sys/kern/uipc_usrreq.c projects/camlock/sys/kern/vfs_aio.c projects/camlock/sys/kern/vfs_bio.c projects/camlock/sys/kern/vfs_cache.c projects/camlock/sys/kern/vfs_mount.c projects/camlock/sys/kern/vfs_mountroot.c projects/camlock/sys/kern/vfs_subr.c projects/camlock/sys/kern/vfs_vnops.c projects/camlock/sys/kgssapi/gss_impl.c projects/camlock/sys/mips/atheros/ar71xx_chip.c projects/camlock/sys/mips/atheros/ar71xx_cpudef.h projects/camlock/sys/mips/atheros/ar71xx_gpio.c projects/camlock/sys/mips/atheros/ar71xx_setup.c projects/camlock/sys/mips/atheros/ar71xx_setup.h projects/camlock/sys/mips/atheros/ar724x_chip.c projects/camlock/sys/mips/atheros/ar91xx_chip.c projects/camlock/sys/mips/atheros/ar933x_chip.c projects/camlock/sys/mips/atheros/files.ar71xx projects/camlock/sys/mips/atheros/uart_bus_ar71xx.c projects/camlock/sys/mips/atheros/uart_bus_ar933x.c projects/camlock/sys/mips/atheros/uart_cpu_ar71xx.c projects/camlock/sys/mips/atheros/uart_cpu_ar933x.c projects/camlock/sys/mips/cavium/std.octeon1 projects/camlock/sys/mips/conf/AP93.hints projects/camlock/sys/mips/conf/DIR-825 projects/camlock/sys/mips/conf/DIR-825.hints projects/camlock/sys/mips/include/atomic.h projects/camlock/sys/mips/include/elf.h projects/camlock/sys/mips/mips/uio_machdep.c projects/camlock/sys/mips/mips/vm_machdep.c projects/camlock/sys/modules/Makefile projects/camlock/sys/modules/cc/Makefile projects/camlock/sys/modules/cxgbe/Makefile projects/camlock/sys/modules/cxgbe/if_cxgbe/Makefile projects/camlock/sys/modules/cxgbe/t4_firmware/Makefile projects/camlock/sys/modules/dtrace/dtrace/Makefile projects/camlock/sys/modules/em/Makefile projects/camlock/sys/modules/ext2fs/Makefile projects/camlock/sys/modules/hpt27xx/Makefile projects/camlock/sys/modules/igb/Makefile projects/camlock/sys/modules/iwn/Makefile projects/camlock/sys/modules/nvme/Makefile projects/camlock/sys/modules/usb/Makefile projects/camlock/sys/modules/wi/Makefile projects/camlock/sys/net/ieee8023ad_lacp.c projects/camlock/sys/net/ieee8023ad_lacp.h projects/camlock/sys/net/if.c projects/camlock/sys/net/if_arp.h projects/camlock/sys/net/if_bridge.c projects/camlock/sys/net/if_ethersubr.c projects/camlock/sys/net/if_gif.c projects/camlock/sys/net/if_lagg.c projects/camlock/sys/net/if_lagg.h projects/camlock/sys/net/if_var.h projects/camlock/sys/net/rtsock.c projects/camlock/sys/net/vnet.h projects/camlock/sys/net80211/ieee80211.h projects/camlock/sys/net80211/ieee80211_amrr.c projects/camlock/sys/net80211/ieee80211_mesh.c projects/camlock/sys/net80211/ieee80211_output.c projects/camlock/sys/net80211/ieee80211_phy.c projects/camlock/sys/net80211/ieee80211_phy.h projects/camlock/sys/net80211/ieee80211_proto.h projects/camlock/sys/netgraph/bluetooth/socket/ng_btsocket.c projects/camlock/sys/netgraph/ng_base.c projects/camlock/sys/netgraph/ng_pppoe.c projects/camlock/sys/netgraph/ng_pppoe.h projects/camlock/sys/netinet/icmp6.h projects/camlock/sys/netinet/icmp_var.h projects/camlock/sys/netinet/if_ether.c projects/camlock/sys/netinet/in.c projects/camlock/sys/netinet/in_mcast.c projects/camlock/sys/netinet/in_pcb.c projects/camlock/sys/netinet/in_pcb.h projects/camlock/sys/netinet/ip_carp.c projects/camlock/sys/netinet/ip_carp.h projects/camlock/sys/netinet/ip_icmp.c projects/camlock/sys/netinet/ip_input.c projects/camlock/sys/netinet/ip_mroute.c projects/camlock/sys/netinet/ip_mroute.h projects/camlock/sys/netinet/ip_output.c projects/camlock/sys/netinet/ip_var.h projects/camlock/sys/netinet/pim_var.h projects/camlock/sys/netinet/sctp_asconf.c projects/camlock/sys/netinet/sctp_constants.h projects/camlock/sys/netinet/sctp_indata.c projects/camlock/sys/netinet/sctp_indata.h projects/camlock/sys/netinet/sctp_input.c projects/camlock/sys/netinet/sctp_output.c projects/camlock/sys/netinet/sctp_pcb.c projects/camlock/sys/netinet/sctp_sysctl.c projects/camlock/sys/netinet/sctp_sysctl.h projects/camlock/sys/netinet/sctp_usrreq.c projects/camlock/sys/netinet/tcp_input.c projects/camlock/sys/netinet/tcp_syncache.c projects/camlock/sys/netinet/tcp_syncache.h projects/camlock/sys/netinet/tcp_var.h projects/camlock/sys/netinet/udp_usrreq.c projects/camlock/sys/netinet/udp_var.h projects/camlock/sys/netinet6/icmp6.c projects/camlock/sys/netinet6/in6.c projects/camlock/sys/netinet6/in6_ifattach.c projects/camlock/sys/netinet6/in6_pcb.c projects/camlock/sys/netinet6/in6_proto.c projects/camlock/sys/netinet6/in6_var.h projects/camlock/sys/netinet6/ip6_forward.c projects/camlock/sys/netinet6/ip6_input.c projects/camlock/sys/netinet6/ip6_mroute.h projects/camlock/sys/netinet6/ip6_output.c projects/camlock/sys/netinet6/ip6_var.h projects/camlock/sys/netinet6/nd6.c projects/camlock/sys/netinet6/pim6_var.h projects/camlock/sys/netinet6/raw_ip6.c projects/camlock/sys/netinet6/raw_ip6.h projects/camlock/sys/netinet6/udp6_usrreq.c projects/camlock/sys/netipsec/ah_var.h projects/camlock/sys/netipsec/esp_var.h projects/camlock/sys/netipsec/ipcomp_var.h projects/camlock/sys/netipsec/ipip_var.h projects/camlock/sys/netipsec/ipsec.c projects/camlock/sys/netipsec/ipsec.h projects/camlock/sys/netipsec/ipsec6.h projects/camlock/sys/netipsec/keysock.c projects/camlock/sys/netipsec/keysock.h projects/camlock/sys/netipsec/xform_ah.c projects/camlock/sys/netipsec/xform_esp.c projects/camlock/sys/netipsec/xform_ipcomp.c projects/camlock/sys/netipsec/xform_ipip.c projects/camlock/sys/netpfil/pf/if_pfsync.c projects/camlock/sys/nfs/bootp_subr.c projects/camlock/sys/nfsclient/nfs_subs.c projects/camlock/sys/nfsclient/nfs_vnops.c projects/camlock/sys/ofed/drivers/net/mlx4/en_netdev.c projects/camlock/sys/ofed/drivers/net/mlx4/en_tx.c projects/camlock/sys/ofed/drivers/net/mlx4/main.c projects/camlock/sys/ofed/include/linux/module.h projects/camlock/sys/ofed/include/linux/sysfs.h projects/camlock/sys/powerpc/aim/mmu_oea64.c projects/camlock/sys/powerpc/aim/vm_machdep.c projects/camlock/sys/powerpc/booke/vm_machdep.c projects/camlock/sys/powerpc/include/spr.h projects/camlock/sys/powerpc/ofw/ofw_real.c projects/camlock/sys/powerpc/powermac/macio.c projects/camlock/sys/powerpc/powermac/maciovar.h projects/camlock/sys/powerpc/powerpc/uio_machdep.c projects/camlock/sys/powerpc/wii/wii_ipcreg.h projects/camlock/sys/rpc/rpcsec_gss.h projects/camlock/sys/rpc/rpcsec_gss/rpcsec_gss.c projects/camlock/sys/security/audit/audit.c projects/camlock/sys/sparc64/include/pmap.h projects/camlock/sys/sparc64/include/ucontext.h projects/camlock/sys/sparc64/sparc64/machdep.c projects/camlock/sys/sparc64/sparc64/pmap.c projects/camlock/sys/sparc64/sparc64/uio_machdep.c projects/camlock/sys/sparc64/sparc64/vm_machdep.c projects/camlock/sys/sys/counter.h projects/camlock/sys/sys/elf_common.h projects/camlock/sys/sys/eventhandler.h projects/camlock/sys/sys/kernel.h projects/camlock/sys/sys/libkern.h projects/camlock/sys/sys/mbuf.h projects/camlock/sys/sys/param.h projects/camlock/sys/sys/priv.h projects/camlock/sys/sys/sched.h projects/camlock/sys/sys/sdt.h projects/camlock/sys/sys/sf_buf.h projects/camlock/sys/sys/syscallsubr.h projects/camlock/sys/sys/systm.h projects/camlock/sys/sys/uuid.h projects/camlock/sys/sys/vnode.h projects/camlock/sys/ufs/ffs/ffs_alloc.c projects/camlock/sys/ufs/ffs/ffs_balloc.c projects/camlock/sys/ufs/ffs/ffs_snapshot.c projects/camlock/sys/ufs/ffs/ffs_suspend.c projects/camlock/sys/ufs/ffs/ffs_vfsops.c projects/camlock/sys/ufs/ufs/inode.h projects/camlock/sys/vm/swap_pager.c projects/camlock/sys/vm/uma_core.c projects/camlock/sys/vm/uma_int.h projects/camlock/sys/vm/vm.h projects/camlock/sys/vm/vm_extern.h projects/camlock/sys/vm/vm_fault.c projects/camlock/sys/vm/vm_glue.c projects/camlock/sys/vm/vm_map.c projects/camlock/sys/vm/vm_map.h projects/camlock/sys/vm/vm_mmap.c projects/camlock/sys/vm/vm_object.c projects/camlock/sys/vm/vm_object.h projects/camlock/sys/vm/vm_page.c projects/camlock/sys/vm/vm_pageout.c projects/camlock/sys/vm/vm_param.h projects/camlock/sys/vm/vm_phys.c projects/camlock/sys/vm/vnode_pager.c projects/camlock/sys/x86/include/specialreg.h projects/camlock/tools/build/mk/OptionalObsoleteFiles.inc projects/camlock/tools/build/options/makeman projects/camlock/tools/regression/aio/aiop/aiop.c projects/camlock/tools/regression/aio/aiotest/aiotest.c projects/camlock/tools/regression/lib/libc/gen/test-fpclassify.c projects/camlock/tools/regression/lib/libc/gen/test-ftw.c projects/camlock/tools/regression/lib/libc/gen/test-wordexp.c projects/camlock/tools/regression/priv/Makefile projects/camlock/tools/regression/pthread/cv_cancel1/cv_cancel1.c projects/camlock/tools/regression/sbin/dhclient/Makefile projects/camlock/tools/tools/crypto/ipsecstats.c projects/camlock/tools/tools/cxgbetool/cxgbetool.c projects/camlock/tools/tools/sysdoc/tunables.mdoc projects/camlock/tools/tools/zfsboottest/Makefile projects/camlock/tools/tools/zfsboottest/zfsboottest.c projects/camlock/tools/tools/zfsboottest/zfsboottest.sh projects/camlock/usr.bin/bmake/Makefile projects/camlock/usr.bin/bmake/config.h projects/camlock/usr.bin/bmake/unit-tests/Makefile projects/camlock/usr.bin/dtc/fdt.cc projects/camlock/usr.bin/fetch/fetch.1 projects/camlock/usr.bin/fetch/fetch.c projects/camlock/usr.bin/find/function.c projects/camlock/usr.bin/grep/regex/tre-fastmatch.c projects/camlock/usr.bin/iconv/iconv.c projects/camlock/usr.bin/kdump/kdump.c projects/camlock/usr.bin/mail/popen.c projects/camlock/usr.bin/make/job.c projects/camlock/usr.bin/mkcsmapper/lex.l projects/camlock/usr.bin/mkesdb/lex.l projects/camlock/usr.bin/netstat/inet.c projects/camlock/usr.bin/netstat/inet6.c projects/camlock/usr.bin/netstat/ipsec.c projects/camlock/usr.bin/netstat/main.c projects/camlock/usr.bin/netstat/mbuf.c projects/camlock/usr.bin/netstat/mroute.c projects/camlock/usr.bin/netstat/netstat.1 projects/camlock/usr.bin/netstat/netstat.h projects/camlock/usr.bin/netstat/pfkey.c projects/camlock/usr.bin/netstat/route.c projects/camlock/usr.bin/patch/Makefile projects/camlock/usr.bin/patch/patch.c projects/camlock/usr.bin/patch/pch.c projects/camlock/usr.bin/rwho/rwho.c projects/camlock/usr.bin/script/script.1 projects/camlock/usr.bin/svn/lib/libapr_util/Makefile projects/camlock/usr.bin/svn/lib/libapr_util/apu.h projects/camlock/usr.bin/svn/lib/libserf/Makefile projects/camlock/usr.bin/svn/svn_private_config.h projects/camlock/usr.bin/systat/Makefile projects/camlock/usr.bin/systat/cmdtab.c projects/camlock/usr.bin/systat/extern.h projects/camlock/usr.bin/systat/systat.1 projects/camlock/usr.bin/truss/syscall.h projects/camlock/usr.bin/truss/syscalls.c projects/camlock/usr.bin/uniq/uniq.c projects/camlock/usr.sbin/Makefile projects/camlock/usr.sbin/authpf/Makefile projects/camlock/usr.sbin/bhyve/Makefile projects/camlock/usr.sbin/bhyve/bhyverun.c projects/camlock/usr.sbin/bhyve/pci_emul.c projects/camlock/usr.sbin/bhyve/pci_emul.h projects/camlock/usr.sbin/bhyve/pci_virtio_block.c projects/camlock/usr.sbin/bhyve/pci_virtio_net.c projects/camlock/usr.sbin/bhyve/rtc.c projects/camlock/usr.sbin/bhyve/virtio.h projects/camlock/usr.sbin/bsdconfig/USAGE projects/camlock/usr.sbin/bsdconfig/bsdconfig projects/camlock/usr.sbin/bsdconfig/bsdconfig.8 projects/camlock/usr.sbin/bsdconfig/console/USAGE projects/camlock/usr.sbin/bsdconfig/console/console projects/camlock/usr.sbin/bsdconfig/console/font projects/camlock/usr.sbin/bsdconfig/console/keymap projects/camlock/usr.sbin/bsdconfig/console/repeat projects/camlock/usr.sbin/bsdconfig/console/saver projects/camlock/usr.sbin/bsdconfig/console/screenmap projects/camlock/usr.sbin/bsdconfig/console/ttys projects/camlock/usr.sbin/bsdconfig/diskmgmt/USAGE projects/camlock/usr.sbin/bsdconfig/diskmgmt/diskmgmt projects/camlock/usr.sbin/bsdconfig/docsinstall/USAGE projects/camlock/usr.sbin/bsdconfig/docsinstall/docsinstall projects/camlock/usr.sbin/bsdconfig/dot/USAGE projects/camlock/usr.sbin/bsdconfig/dot/dot projects/camlock/usr.sbin/bsdconfig/examples/Makefile projects/camlock/usr.sbin/bsdconfig/include/messages.subr projects/camlock/usr.sbin/bsdconfig/mouse/USAGE projects/camlock/usr.sbin/bsdconfig/mouse/disable projects/camlock/usr.sbin/bsdconfig/mouse/enable projects/camlock/usr.sbin/bsdconfig/mouse/flags projects/camlock/usr.sbin/bsdconfig/mouse/mouse projects/camlock/usr.sbin/bsdconfig/mouse/port projects/camlock/usr.sbin/bsdconfig/mouse/type projects/camlock/usr.sbin/bsdconfig/networking/USAGE projects/camlock/usr.sbin/bsdconfig/networking/defaultrouter projects/camlock/usr.sbin/bsdconfig/networking/devices projects/camlock/usr.sbin/bsdconfig/networking/hostname projects/camlock/usr.sbin/bsdconfig/networking/include/messages.subr projects/camlock/usr.sbin/bsdconfig/networking/nameservers projects/camlock/usr.sbin/bsdconfig/networking/networking projects/camlock/usr.sbin/bsdconfig/networking/share/Makefile projects/camlock/usr.sbin/bsdconfig/networking/share/common.subr projects/camlock/usr.sbin/bsdconfig/networking/share/device.subr projects/camlock/usr.sbin/bsdconfig/networking/share/hostname.subr projects/camlock/usr.sbin/bsdconfig/networking/share/ipaddr.subr projects/camlock/usr.sbin/bsdconfig/networking/share/media.subr projects/camlock/usr.sbin/bsdconfig/networking/share/netmask.subr projects/camlock/usr.sbin/bsdconfig/networking/share/resolv.subr projects/camlock/usr.sbin/bsdconfig/networking/share/routing.subr projects/camlock/usr.sbin/bsdconfig/packages/USAGE projects/camlock/usr.sbin/bsdconfig/packages/packages projects/camlock/usr.sbin/bsdconfig/password/USAGE projects/camlock/usr.sbin/bsdconfig/password/password projects/camlock/usr.sbin/bsdconfig/password/share/password.subr projects/camlock/usr.sbin/bsdconfig/security/USAGE projects/camlock/usr.sbin/bsdconfig/security/kern_securelevel projects/camlock/usr.sbin/bsdconfig/security/security projects/camlock/usr.sbin/bsdconfig/share/common.subr projects/camlock/usr.sbin/bsdconfig/share/device.subr projects/camlock/usr.sbin/bsdconfig/share/dialog.subr projects/camlock/usr.sbin/bsdconfig/share/media/any.subr projects/camlock/usr.sbin/bsdconfig/share/media/cdrom.subr projects/camlock/usr.sbin/bsdconfig/share/media/common.subr projects/camlock/usr.sbin/bsdconfig/share/media/directory.subr projects/camlock/usr.sbin/bsdconfig/share/media/dos.subr projects/camlock/usr.sbin/bsdconfig/share/media/floppy.subr projects/camlock/usr.sbin/bsdconfig/share/media/ftp.subr projects/camlock/usr.sbin/bsdconfig/share/media/http.subr projects/camlock/usr.sbin/bsdconfig/share/media/httpproxy.subr projects/camlock/usr.sbin/bsdconfig/share/media/network.subr projects/camlock/usr.sbin/bsdconfig/share/media/nfs.subr projects/camlock/usr.sbin/bsdconfig/share/media/options.subr projects/camlock/usr.sbin/bsdconfig/share/media/tcpip.subr projects/camlock/usr.sbin/bsdconfig/share/media/ufs.subr projects/camlock/usr.sbin/bsdconfig/share/media/usb.subr projects/camlock/usr.sbin/bsdconfig/share/mustberoot.subr projects/camlock/usr.sbin/bsdconfig/share/packages/categories.subr projects/camlock/usr.sbin/bsdconfig/share/packages/index.subr projects/camlock/usr.sbin/bsdconfig/share/packages/packages.subr projects/camlock/usr.sbin/bsdconfig/share/script.subr projects/camlock/usr.sbin/bsdconfig/share/strings.subr projects/camlock/usr.sbin/bsdconfig/share/struct.subr projects/camlock/usr.sbin/bsdconfig/share/sysrc.subr projects/camlock/usr.sbin/bsdconfig/share/variable.subr projects/camlock/usr.sbin/bsdconfig/startup/USAGE projects/camlock/usr.sbin/bsdconfig/startup/misc projects/camlock/usr.sbin/bsdconfig/startup/rcadd projects/camlock/usr.sbin/bsdconfig/startup/rcconf projects/camlock/usr.sbin/bsdconfig/startup/rcdelete projects/camlock/usr.sbin/bsdconfig/startup/rcvar projects/camlock/usr.sbin/bsdconfig/startup/share/rcconf.subr projects/camlock/usr.sbin/bsdconfig/startup/share/rcvar.subr projects/camlock/usr.sbin/bsdconfig/startup/startup projects/camlock/usr.sbin/bsdconfig/timezone/USAGE projects/camlock/usr.sbin/bsdconfig/timezone/share/continents.subr projects/camlock/usr.sbin/bsdconfig/timezone/share/countries.subr projects/camlock/usr.sbin/bsdconfig/timezone/share/iso3166.subr projects/camlock/usr.sbin/bsdconfig/timezone/share/menus.subr projects/camlock/usr.sbin/bsdconfig/timezone/share/zones.subr projects/camlock/usr.sbin/bsdconfig/timezone/timezone projects/camlock/usr.sbin/bsdconfig/ttys/USAGE projects/camlock/usr.sbin/bsdconfig/ttys/ttys projects/camlock/usr.sbin/bsdconfig/usermgmt/USAGE projects/camlock/usr.sbin/bsdconfig/usermgmt/groupinput projects/camlock/usr.sbin/bsdconfig/usermgmt/share/group_input.subr projects/camlock/usr.sbin/bsdconfig/usermgmt/share/user_input.subr projects/camlock/usr.sbin/bsdconfig/usermgmt/userinput projects/camlock/usr.sbin/bsdinstall/scripts/mirrorselect projects/camlock/usr.sbin/bsnmpd/modules/snmp_hast/BEGEMOT-HAST-MIB.txt projects/camlock/usr.sbin/bsnmpd/modules/snmp_hast/hast_snmp.c projects/camlock/usr.sbin/bsnmpd/modules/snmp_hast/hast_tree.def projects/camlock/usr.sbin/gssd/gssd.8 projects/camlock/usr.sbin/gssd/gssd.c projects/camlock/usr.sbin/makefs/cd9660.c projects/camlock/usr.sbin/makefs/cd9660/iso9660_rrip.c projects/camlock/usr.sbin/makefs/ffs/ufs_bswap.h projects/camlock/usr.sbin/nfsd/nfsv4.4 projects/camlock/usr.sbin/nvram/nvram.c projects/camlock/usr.sbin/pciconf/cap.c projects/camlock/usr.sbin/pkg/config.c projects/camlock/usr.sbin/portsnap/portsnap/portsnap.sh projects/camlock/usr.sbin/powerd/powerd.8 projects/camlock/usr.sbin/powerd/powerd.c projects/camlock/usr.sbin/ppp/defs.c projects/camlock/usr.sbin/ppp/defs.h projects/camlock/usr.sbin/pw/pw_user.c projects/camlock/usr.sbin/rtadvd/config.c projects/camlock/usr.sbin/rtadvd/rtadvd.c projects/camlock/usr.sbin/rtsold/rtsol.c projects/camlock/usr.sbin/rwhod/rwhod.c projects/camlock/usr.sbin/sysrc/sysrc projects/camlock/usr.sbin/sysrc/sysrc.8 projects/camlock/usr.sbin/watchdogd/watchdogd.8 projects/camlock/usr.sbin/watchdogd/watchdogd.c projects/camlock/usr.sbin/wpa/Makefile.crypto projects/camlock/usr.sbin/wpa/Makefile.inc projects/camlock/usr.sbin/wpa/hostapd/Makefile projects/camlock/usr.sbin/wpa/hostapd_cli/Makefile projects/camlock/usr.sbin/wpa/wpa_cli/Makefile projects/camlock/usr.sbin/wpa/wpa_passphrase/Makefile projects/camlock/usr.sbin/wpa/wpa_supplicant/Makefile projects/camlock/usr.sbin/ypserv/yp_access.c projects/camlock/usr.sbin/ypserv/yp_dnslookup.c projects/camlock/usr.sbin/ypserv/yp_main.c Directory Properties: projects/camlock/ (props changed) projects/camlock/cddl/ (props changed) projects/camlock/cddl/contrib/opensolaris/ (props changed) projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/ (props changed) projects/camlock/cddl/contrib/opensolaris/cmd/zfs/ (props changed) projects/camlock/cddl/contrib/opensolaris/lib/libzfs/ (props changed) projects/camlock/contrib/apr/ (props changed) projects/camlock/contrib/apr-util/ (props changed) projects/camlock/contrib/bind9/ (props changed) projects/camlock/contrib/binutils/ (props changed) projects/camlock/contrib/bmake/ (props changed) projects/camlock/contrib/ipfilter/ (props changed) projects/camlock/contrib/libc++/ (props changed) projects/camlock/contrib/libcxxrt/ (props changed) projects/camlock/contrib/libstdc++/ (props changed) projects/camlock/contrib/llvm/ (props changed) projects/camlock/contrib/llvm/tools/clang/ (props changed) projects/camlock/contrib/openbsm/ (props changed) projects/camlock/contrib/serf/ (props changed) projects/camlock/contrib/subversion/ (props changed) projects/camlock/contrib/tcpdump/ (props changed) projects/camlock/contrib/tzdata/ (props changed) projects/camlock/contrib/wpa/ (props changed) projects/camlock/contrib/wpa/hostapd/eap_testing.txt (props changed) projects/camlock/contrib/wpa/hostapd/hostapd.8 (props changed) projects/camlock/contrib/wpa/hostapd/hostapd_cli.1 (props changed) projects/camlock/contrib/wpa/hostapd/logwatch/hostapd.conf (props changed) projects/camlock/contrib/wpa/hostapd/wired.conf (props changed) projects/camlock/contrib/wpa/src/ap/vlan_init.h (props changed) projects/camlock/contrib/wpa/src/ap/wmm.h (props changed) projects/camlock/contrib/wpa/src/utils/radiotap.c (props changed) projects/camlock/contrib/wpa/src/wps/http.h (props changed) projects/camlock/contrib/wpa/wpa_supplicant/dbus/dbus-wpa_supplicant.conf (props changed) projects/camlock/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-getall.py (props changed) projects/camlock/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-wps.py (props changed) projects/camlock/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new.py (props changed) projects/camlock/lib/libc/ (props changed) projects/camlock/sbin/ (props changed) projects/camlock/sbin/ipfw/ (props changed) projects/camlock/share/man/man4/ (props changed) projects/camlock/sys/ (props changed) projects/camlock/sys/amd64/vmm/ (props changed) projects/camlock/sys/boot/ (props changed) projects/camlock/sys/cddl/contrib/opensolaris/ (props changed) projects/camlock/sys/conf/ (props changed) projects/camlock/sys/contrib/dev/acpica/ (props changed) projects/camlock/sys/contrib/dev/acpica/common/ (props changed) projects/camlock/sys/contrib/dev/acpica/compiler/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/debugger/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/disassembler/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/dispatcher/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/events/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/executer/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/hardware/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/namespace/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/parser/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/resources/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/tables/ (props changed) projects/camlock/sys/contrib/dev/acpica/components/utilities/ (props changed) projects/camlock/sys/contrib/dev/acpica/include/ (props changed) projects/camlock/sys/contrib/dev/acpica/os_specific/ (props changed) projects/camlock/sys/contrib/ipfilter/ (props changed) projects/camlock/sys/dev/usb/serial/uark.c (props changed) projects/camlock/usr.sbin/bhyve/ (props changed) projects/camlock/usr.sbin/rtadvd/ (props changed) projects/camlock/usr.sbin/rtsold/ (props changed) Modified: projects/camlock/MAINTAINERS ============================================================================== --- projects/camlock/MAINTAINERS Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/MAINTAINERS Mon Aug 5 07:10:57 2013 (r253951) @@ -128,3 +128,6 @@ sysdoc trhodes Pre-commit review prefe sh(1) jilles Pre-commit review requested. This also applies to kill(1), printf(1) and test(1) which are compiled in as builtins. +nvme(4) jimharris Pre-commit review requested. +nvd(4) jimharris Pre-commit review requested. +nvmecontrol(8) jimharris Pre-commit review requested. Modified: projects/camlock/Makefile ============================================================================== --- projects/camlock/Makefile Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/Makefile Mon Aug 5 07:10:57 2013 (r253951) @@ -32,6 +32,12 @@ # targets - Print a list of supported TARGET/TARGET_ARCH pairs # for world and kernel targets. # toolchains - Build a toolchain for all world and kernel targets. +# +# "quick" way to test all kernel builds: +# _jflag=`sysctl -n hw.ncpu` +# _jflag=$(($_jflag * 2)) +# [ $_jflag -gt 12 ] && _jflag=12 +# make universe -DMAKE_JUST_KERNELS JFLAG=-j${_jflag} # # This makefile is simple by design. The FreeBSD make automatically reads # the /usr/share/mk/sys.mk unless the -m argument is specified on the @@ -124,11 +130,34 @@ _MAKEOBJDIRPREFIX!= /usr/bin/env -i PATH .error MAKEOBJDIRPREFIX can only be set in environment, not as a global\ (in make.conf(5)) or command-line variable. .endif -MAKEPATH= ${MAKEOBJDIRPREFIX}${.CURDIR}/make.${MACHINE} -BINMAKE= \ - `if [ -x ${MAKEPATH}/make ]; then echo ${MAKEPATH}/make; else echo ${MAKE}; fi` \ + +# We often need to use the tree's version of make to build it. +# Choices add to complexity though. +# We cannot blindly use a make which may not be the one we want +# so be exlicit - until all choice is removed. +.if !defined(WITHOUT_BMAKE) +WANT_MAKE= bmake +.else +WANT_MAKE= fmake +.endif +MYMAKE= ${MAKEOBJDIRPREFIX}${.CURDIR}/make.${MACHINE}/${WANT_MAKE} +.if defined(.PARSEDIR) +HAVE_MAKE= bmake +.else +HAVE_MAKE= fmake +.endif +.if exists(${MYMAKE}) +SUB_MAKE:= ${MYMAKE} -m ${.CURDIR}/share/mk +.elif ${WANT_MAKE} != ${HAVE_MAKE} || ${WANT_MAKE} != "bmake" +# It may not exist yet but we may cause it to. +# In the case of fmake, upgrade_checks may cause a newer version to be built. +SUB_MAKE= `test -x ${MYMAKE} && echo ${MYMAKE} || echo ${MAKE}` \ -m ${.CURDIR}/share/mk -_MAKE= PATH=${PATH} ${BINMAKE} -f Makefile.inc1 TARGET=${_TARGET} TARGET_ARCH=${_TARGET_ARCH} +.else +SUB_MAKE= ${MAKE} -m ${.CURDIR}/share/mk +.endif + +_MAKE= PATH=${PATH} ${SUB_MAKE} -f Makefile.inc1 TARGET=${_TARGET} TARGET_ARCH=${_TARGET_ARCH} # Guess machine architecture from machine type, and vice versa. .if !defined(TARGET_ARCH) && defined(TARGET) @@ -285,11 +314,13 @@ kernel: buildkernel installkernel # Perform a few tests to determine if the installed tools are adequate # for building the world. # +# Note: if we ever need to care about the version of bmake, simply testing +# MAKE_VERSION against a required version should suffice. +# upgrade_checks: -.if !defined(.PARSEDIR) -.if !defined(WITHOUT_BMAKE) - (cd ${.CURDIR} && ${MAKE} bmake) -.else +.if ${HAVE_MAKE} != ${WANT_MAKE} + @(cd ${.CURDIR} && ${MAKE} ${WANT_MAKE:S,^f,,}) +.elif ${WANT_MAKE} == "fmake" @if ! (cd ${.CURDIR}/tools/build/make_check && \ PATH=${PATH} ${BINMAKE} obj >/dev/null 2>&1 && \ PATH=${PATH} ${BINMAKE} >/dev/null 2>&1); \ @@ -297,14 +328,13 @@ upgrade_checks: (cd ${.CURDIR} && ${MAKE} make); \ fi .endif -.endif # # Upgrade make(1) to the current version using the installed # headers, libraries and tools. Also, allow the location of # the system bsdmake-like utility to be overridden. # -MMAKEENV= MAKEOBJDIRPREFIX=${MAKEPATH} \ +MMAKEENV= MAKEOBJDIRPREFIX=${MYMAKE:H} \ DESTDIR= \ INSTALL="sh ${.CURDIR}/tools/install.sh" MMAKE= ${MMAKEENV} ${MAKE} \ @@ -321,7 +351,7 @@ make bmake: .PHONY ${MMAKE} obj && \ ${MMAKE} depend && \ ${MMAKE} all && \ - ${MMAKE} install DESTDIR=${MAKEPATH} BINDIR= + ${MMAKE} install DESTDIR=${MYMAKE:H} BINDIR= PROGNAME=${MYMAKE:T} tinderbox: @cd ${.CURDIR} && ${MAKE} DOING_TINDERBOX=YES universe @@ -371,7 +401,8 @@ MAKEFAIL=tee -a ${FAILFILE} MAKEFAIL=cat .endif -universe: universe_prologue upgrade_checks +universe_prologue: upgrade_checks +universe: universe_prologue universe_prologue: @echo "--------------------------------------------------------------" @echo ">>> make universe started on ${STARTTIME}" @@ -381,9 +412,9 @@ universe_prologue: .endif .for target in ${TARGETS} universe: universe_${target} -.ORDER: universe_prologue upgrade_checks universe_${target} universe_epilogue +universe_epilogue: universe_${target} universe_${target}: universe_${target}_prologue -universe_${target}_prologue: +universe_${target}_prologue: universe_prologue @echo ">> ${target} started on `LC_ALL=C date`" .if !defined(MAKE_JUST_KERNELS) .for target_arch in ${TARGET_ARCHES_${target}} @@ -391,7 +422,7 @@ universe_${target}: universe_${target}_$ universe_${target}_${target_arch}: universe_${target}_prologue @echo ">> ${target}.${target_arch} ${UNIVERSE_TARGET} started on `LC_ALL=C date`" @(cd ${.CURDIR} && env __MAKE_CONF=/dev/null \ - ${MAKE} ${JFLAG} ${UNIVERSE_TARGET} \ + ${SUB_MAKE} ${JFLAG} ${UNIVERSE_TARGET} \ TARGET=${target} \ TARGET_ARCH=${target_arch} \ > _.${target}.${target_arch}.${UNIVERSE_TARGET} 2>&1 || \ @@ -412,11 +443,11 @@ universe_${target}: universe_${target}_k universe_${target}_kernels: universe_${target}_prologue .if exists(${KERNSRCDIR}/${target}/conf/NOTES) @(cd ${KERNSRCDIR}/${target}/conf && env __MAKE_CONF=/dev/null \ - ${MAKE} LINT > ${.CURDIR}/_.${target}.makeLINT 2>&1 || \ + ${SUB_MAKE} LINT > ${.CURDIR}/_.${target}.makeLINT 2>&1 || \ (echo "${target} 'make LINT' failed," \ "check _.${target}.makeLINT for details"| ${MAKEFAIL})) .endif - @cd ${.CURDIR} && ${MAKE} ${.MAKEFLAGS} TARGET=${target} \ + @cd ${.CURDIR} && ${SUB_MAKE} ${.MAKEFLAGS} TARGET=${target} \ universe_kernels .endif @echo ">> ${target} completed on `LC_ALL=C date`" @@ -439,7 +470,7 @@ TARGET_ARCH_${kernel}!= cd ${KERNSRCDIR} universe_kernconfs: universe_kernconf_${TARGET}_${kernel} universe_kernconf_${TARGET}_${kernel}: @(cd ${.CURDIR} && env __MAKE_CONF=/dev/null \ - ${MAKE} ${JFLAG} buildkernel \ + ${SUB_MAKE} ${JFLAG} buildkernel \ TARGET=${TARGET} \ TARGET_ARCH=${TARGET_ARCH_${kernel}} \ KERNCONF=${kernel} \ Modified: projects/camlock/Makefile.inc1 ============================================================================== --- projects/camlock/Makefile.inc1 Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/Makefile.inc1 Mon Aug 5 07:10:57 2013 (r253951) @@ -833,6 +833,18 @@ distributeworld installworld: installche awk 'BEGIN { print "#${MTREE_MAGIC}" } !/ type=/ { file = $$1 } / type=/ { if ($$1 == file) { sub(/^\.\/${dist}\//, "./"); print } }' > \ ${DESTDIR}/${DISTDIR}/${dist}.meta .endfor +.if ${MK_DEBUG_FILES} != "no" +. for dist in base ${EXTRA_DISTRIBUTIONS} + @# For each file that exists in this dist, print the corresponding + @# line from the METALOG. This relies on the fact that + @# a line containing only the filename will sort immediatly before + @# the relevant mtree line. + cd ${DESTDIR}/${DISTDIR}; \ + find ./${dist}/usr/lib/debug | sort -u ${METALOG} - | \ + awk 'BEGIN { print "#${MTREE_MAGIC}" } !/ type=/ { file = $$1 } / type=/ { if ($$1 == file) { sub(/^\.\/${dist}\//, "./"); print } }' > \ + ${DESTDIR}/${DISTDIR}/${dist}.debug.meta +. endfor +.endif .endif .endif @@ -841,13 +853,29 @@ packageworld: .if defined(NO_ROOT) ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz \ + --exclude usr/lib/debug \ @${DESTDIR}/${DISTDIR}/${dist}.meta .else ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ - tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz . + tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz \ + --exclude usr/lib/debug . .endif .endfor +.if ${MK_DEBUG_FILES} != "no" +. for dist in base ${EXTRA_DISTRIBUTIONS} +. if defined(NO_ROOT) + ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ + tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.debug.txz \ + @${DESTDIR}/${DISTDIR}/${dist}.debug.meta +. else + ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ + tar cvJfL ${DESTDIR}/${DISTDIR}/${dist}.debug.txz \ + usr/lib/debug +. endif +. endfor +.endif + # # reinstall # @@ -1237,7 +1265,7 @@ _kerberos5_bootstrap_tools= \ # Please document (add comment) why something is in 'bootstrap-tools'. # Try to bound the building of the bootstrap-tool to just the # FreeBSD versions that need the tool built at this stage of the build. -bootstrap-tools: +bootstrap-tools: .MAKE .for _tool in \ ${_clang_tblgen} \ ${_kerberos5_bootstrap_tools} \ @@ -1290,7 +1318,7 @@ _gcc_tools= gnu/usr.bin/cc/cc_tools _rescue= rescue/rescue .endif -build-tools: +build-tools: .MAKE .for _tool in \ bin/csh \ bin/sh \ @@ -1352,7 +1380,7 @@ _cc= gnu/usr.bin/cc .endif .endif -cross-tools: +cross-tools: .MAKE .for _tool in \ ${_clang_libs} \ ${_clang} \ @@ -1383,12 +1411,12 @@ hierarchy hier: # interdependencies (__L) are built automatically by the # ${.CURDIR}/tools/make_libdeps.sh script. # -libraries: - cd ${.CURDIR}; \ - ${MAKE} -f Makefile.inc1 _prereq_libs; \ - ${MAKE} -f Makefile.inc1 _startup_libs; \ - ${MAKE} -f Makefile.inc1 _prebuild_libs; \ - ${MAKE} -f Makefile.inc1 _generic_libs; +libraries: .MAKE + cd ${.CURDIR} && \ + ${MAKE} -f Makefile.inc1 _prereq_libs && \ + ${MAKE} -f Makefile.inc1 _startup_libs && \ + ${MAKE} -f Makefile.inc1 _prebuild_libs && \ + ${MAKE} -f Makefile.inc1 _generic_libs # # static libgcc.a prerequisite for shared libc @@ -1542,7 +1570,7 @@ lib/libradius__L: lib/libmd__L .endif .for _lib in ${_prereq_libs} -${_lib}__PL: .PHONY +${_lib}__PL: .PHONY .MAKE .if exists(${.CURDIR}/${_lib}) ${_+_}@${ECHODIR} "===> ${_lib} (obj,depend,all,install)"; \ cd ${.CURDIR}/${_lib} && \ @@ -1554,7 +1582,7 @@ ${_lib}__PL: .PHONY .endfor .for _lib in ${_startup_libs} ${_prebuild_libs:Nlib/libpam} ${_generic_libs} -${_lib}__L: .PHONY +${_lib}__L: .PHONY .MAKE .if exists(${.CURDIR}/${_lib}) ${_+_}@${ECHODIR} "===> ${_lib} (obj,depend,all,install)"; \ cd ${.CURDIR}/${_lib} && \ @@ -1568,7 +1596,7 @@ ${_lib}__L: .PHONY # libpam is special: we need to build static PAM modules before # static PAM library, and dynamic PAM library before dynamic PAM # modules. -lib/libpam__L: .PHONY +lib/libpam__L: .PHONY .MAKE ${_+_}@${ECHODIR} "===> lib/libpam (obj,depend,all,install)"; \ cd ${.CURDIR}/lib/libpam && \ ${MAKE} DIRPRFX=lib/libpam/ obj && \ @@ -1583,7 +1611,7 @@ _generic_libs: ${_generic_libs:S/$/__L/} .for __target in all clean cleandepend cleandir depend includes obj .for entry in ${SUBDIR} -${entry}.${__target}__D: .PHONY +${entry}.${__target}__D: .PHONY .MAKE ${_+_}@set -e; if test -d ${.CURDIR}/${entry}.${MACHINE_ARCH}; then \ ${ECHODIR} "===> ${DIRPRFX}${entry}.${MACHINE_ARCH} (${__target})"; \ edir=${entry}.${MACHINE_ARCH}; \ Modified: projects/camlock/ObsoleteFiles.inc ============================================================================== --- projects/camlock/ObsoleteFiles.inc Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/ObsoleteFiles.inc Mon Aug 5 07:10:57 2013 (r253951) @@ -38,10 +38,13 @@ # xargs -n1 | sort | uniq -d; # done +# 20130710: libkvm version bump +OLD_LIBS+=lib/libkvm.so.5 +OLD_LIBS+=usr/lib32/libkvm.so.5 # 20130623: dialog update from 1.1 to 1.2 OLD_LIBS+=usr/lib/libdialog.so.7 OLD_LIBS+=usr/lib32/libdialog.so.7 -# 20130616: vfs_mounted.9 removed +# 20130616: vfs_mount.9 removed OLD_FILES+=usr/share/man/man9/vfs_mount.9.gz # 20130614: remove CVS from base OLD_FILES+=usr/bin/cvs Modified: projects/camlock/UPDATING ============================================================================== --- projects/camlock/UPDATING Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/UPDATING Mon Aug 5 07:10:57 2013 (r253951) @@ -31,6 +31,45 @@ NOTE TO PEOPLE WHO THINK THAT FreeBSD 10 disable the most expensive debugging functionality run "ln -s 'abort:false,junk:false' /etc/malloc.conf".) +20130802: + find -delete can now delete the pathnames given as arguments, + instead of only files found below them or if the pathname did + not contain any slashes. Formerly, the following error message + would result: + + find: -delete: : relative path potentially not safe + + Deleting the pathnames given as arguments can be prevented + without error messages using -mindepth 1 or by changing + directory and passing "." as argument to find. This works in the + old as well as the new version of find. + +20130726: + Behavior of devfs rules path matching has been changed. + Pattern is now always matched against fully qualified devfs + path and slash characters must be explicitly matched by + slashes in pattern (FNM_PATHNAME). Rulesets involving devfs + subdirectories must be reviewed. + +20130716: + The default ARM ABI has changed to the ARM EABI. The old ABI is + incompatible with the ARM EABI and all programs and modules will + need to be rebuilt to work with a new kernel. + + To keep using the old ABI ensure the WITHOUT_ARM_EABI knob is set. + + NOTE: Support for the old ABI will be removed in the future and + users are advised to upgrade. + +20130709: + pkg_install has been disconnected from the build if you really need it + you should add WITH_PKGTOOLS in your src.conf(5). + +20130709: + Most of network statistics structures were changed to be able + keep 64-bits counters. Thus all tools, that work with networking + statistics, must be rebuilt (netstat(1), bsnmpd(1), etc.) + 20130629: Fix targets that run multiple make's to use && rather than ; so that subsequent steps depend on success of previous. Modified: projects/camlock/bin/sh/Makefile ============================================================================== --- projects/camlock/bin/sh/Makefile Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/Makefile Mon Aug 5 07:10:57 2013 (r253951) @@ -8,7 +8,7 @@ SHSRCS= alias.c arith_yacc.c arith_yylex histedit.c input.c jobs.c kill.c mail.c main.c memalloc.c miscbltin.c \ mystring.c options.c output.c parser.c printf.c redir.c show.c \ test.c trap.c var.c -GENSRCS= builtins.c init.c nodes.c syntax.c +GENSRCS= builtins.c nodes.c syntax.c GENHDRS= builtins.h nodes.h syntax.h token.h SRCS= ${SHSRCS} ${GENSRCS} ${GENHDRS} @@ -30,26 +30,21 @@ WFORMAT=0 ${.CURDIR}/../test \ ${.CURDIR}/../../usr.bin/printf -CLEANFILES+= mkinit mkinit.o mknodes mknodes.o \ +CLEANFILES+= mknodes mknodes.o \ mksyntax mksyntax.o CLEANFILES+= ${GENSRCS} ${GENHDRS} -build-tools: mkinit mknodes mksyntax +build-tools: mknodes mksyntax .ORDER: builtins.c builtins.h builtins.c builtins.h: mkbuiltins builtins.def sh ${.CURDIR}/mkbuiltins ${.CURDIR} -init.c: mkinit alias.c eval.c exec.c input.c jobs.c options.c parser.c \ - redir.c trap.c var.c - ./mkinit ${.ALLSRC:S/^mkinit$//} - # XXX this is just to stop the default .c rule being used, so that the # intermediate object has a fixed name. # XXX we have a default .c rule, but no default .o rule. .o: ${CC} ${CFLAGS} ${LDFLAGS} ${.IMPSRC} ${LDLIBS} -o ${.TARGET} -mkinit: mkinit.o mknodes: mknodes.o mksyntax: mksyntax.o Modified: projects/camlock/bin/sh/TOUR ============================================================================== --- projects/camlock/bin/sh/TOUR Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/TOUR Mon Aug 5 07:10:57 2013 (r253951) @@ -25,38 +25,11 @@ programs is: program input files generates ------- ----------- --------- mkbuiltins builtins builtins.h builtins.c - mkinit *.c init.c mknodes nodetypes nodes.h nodes.c mksyntax - syntax.h syntax.c mktokens - token.h -There are undoubtedly too many of these. Mkinit searches all the -C source files for entries looking like: - - RESET { - x = 2; /* executed when the shell does a longjmp - back to the main command loop */ - } - -It pulls this code out into routines which are when particular -events occur. The intent is to improve modularity by isolating -the information about which modules need to be explicitly -initialized/reset within the modules themselves. - -Mkinit recognizes several constructs for placing declarations in -the init.c file. - INCLUDE "file.h" -includes a file. The storage class MKINIT makes a declaration -available in the init.c file, for example: - MKINIT int funcnest; /* depth of function calls */ -MKINIT alone on a line introduces a structure or union declara- -tion: - MKINIT - struct redirtab { - short renamed[10]; - }; -Preprocessor #define statements are copied to init.c without any -special action to request this. +There are undoubtedly too many of these. EXCEPTIONS: Code for dealing with exceptions appears in exceptions.c. The C language doesn't include exception handling, Modified: projects/camlock/bin/sh/eval.c ============================================================================== --- projects/camlock/bin/sh/eval.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/eval.c Mon Aug 5 07:10:57 2013 (r253951) @@ -76,7 +76,7 @@ __FBSDID("$FreeBSD$"); int evalskip; /* set if we are skipping commands */ int skipcount; /* number of levels to skip */ -MKINIT int loopnest; /* current loop nesting level */ +static int loopnest; /* current loop nesting level */ int funcnest; /* depth of function calls */ static int builtin_flags; /* evalcommand flags for builtins */ @@ -104,16 +104,13 @@ static void prehash(union node *); * Called to reset things after an exception. */ -#ifdef mkinit -INCLUDE "eval.h" - -RESET { +void +reseteval(void) +{ evalskip = 0; loopnest = 0; funcnest = 0; } -#endif - /* Modified: projects/camlock/bin/sh/eval.h ============================================================================== --- projects/camlock/bin/sh/eval.h Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/eval.h Mon Aug 5 07:10:57 2013 (r253951) @@ -46,6 +46,8 @@ struct backcmd { /* result of evalbackc struct job *jp; /* job structure for command */ }; +void reseteval(void); + /* flags in argument to evaltree/evalstring */ #define EV_EXIT 01 /* exit after evaluating tree */ #define EV_TESTED 02 /* exit status is checked; ignore -e flag */ Modified: projects/camlock/bin/sh/exec.c ============================================================================== --- projects/camlock/bin/sh/exec.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/exec.c Mon Aug 5 07:10:57 2013 (r253951) @@ -70,7 +70,6 @@ __FBSDID("$FreeBSD$"); #include "syntax.h" #include "memalloc.h" #include "error.h" -#include "init.h" #include "mystring.h" #include "show.h" #include "jobs.h" Modified: projects/camlock/bin/sh/input.c ============================================================================== --- projects/camlock/bin/sh/input.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/input.c Mon Aug 5 07:10:57 2013 (r253951) @@ -92,7 +92,7 @@ struct parsefile { int plinno = 1; /* input line number */ int parsenleft; /* copy of parsefile->nleft */ -MKINIT int parselleft; /* copy of parsefile->lleft */ +static int parselleft; /* copy of parsefile->lleft */ const char *parsenextc; /* copy of parsefile->nextc */ static char basebuf[BUFSIZ + 1];/* buffer for top level input file */ static struct parsefile basepf = { /* top level input file */ @@ -108,15 +108,12 @@ static void pushfile(void); static int preadfd(void); static void popstring(void); -#ifdef mkinit -INCLUDE "input.h" -INCLUDE "error.h" - -RESET { +void +resetinput(void) +{ popallfiles(); parselleft = parsenleft = 0; /* clear input buffer */ } -#endif /* Modified: projects/camlock/bin/sh/input.h ============================================================================== --- projects/camlock/bin/sh/input.h Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/input.h Mon Aug 5 07:10:57 2013 (r253951) @@ -47,6 +47,7 @@ extern const char *parsenextc; /* next c struct alias; struct parsefile; +void resetinput(void); char *pfgets(char *, int); int pgetc(void); int preadbuffer(void); Modified: projects/camlock/bin/sh/jobs.c ============================================================================== --- projects/camlock/bin/sh/jobs.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/jobs.c Mon Aug 5 07:10:57 2013 (r253951) @@ -77,8 +77,8 @@ __FBSDID("$FreeBSD$"); static struct job *jobtab; /* array of jobs */ static int njobs; /* size of array */ -MKINIT pid_t backgndpid = -1; /* pid of last background process */ -MKINIT struct job *bgjob = NULL; /* last background process */ +static pid_t backgndpid = -1; /* pid of last background process */ +static struct job *bgjob = NULL; /* last background process */ #if JOBS static struct job *jobmru; /* most recently used job list */ static pid_t initialpgrp; /* pgrp of shell on invocation */ @@ -116,7 +116,7 @@ static void showjob(struct job *, int); * Turn job control on and off. */ -MKINIT int jobctl; +static int jobctl; #if JOBS void Modified: projects/camlock/bin/sh/main.c ============================================================================== --- projects/camlock/bin/sh/main.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/main.c Mon Aug 5 07:10:57 2013 (r253951) @@ -68,10 +68,10 @@ __FBSDID("$FreeBSD$"); #include "show.h" #include "memalloc.h" #include "error.h" -#include "init.h" #include "mystring.h" #include "exec.h" #include "cd.h" +#include "redir.h" #include "builtins.h" int rootpid; @@ -79,6 +79,7 @@ int rootshell; struct jmploc main_handler; int localeisutf8, initial_localeisutf8; +static void reset(void); static void cmdloop(int); static void read_profile(const char *); static char *find_dot_file(char *); @@ -170,8 +171,8 @@ state3: if (minusc) { evalstring(minusc, sflag ? 0 : EV_EXIT); } +state4: if (sflag || minusc == NULL) { -state4: /* XXX ??? - why isn't this before the "if" statement */ cmdloop(1); } exitshell(exitstatus); @@ -179,6 +180,14 @@ state4: /* XXX ??? - why isn't this befo return 0; } +static void +reset(void) +{ + reseteval(); + resetinput(); + resetparser(); + resetredir(); +} /* * Read and execute commands. "Top" is nonzero for the top level command Modified: projects/camlock/bin/sh/output.c ============================================================================== --- projects/camlock/bin/sh/output.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/output.c Mon Aug 5 07:10:57 2013 (r253951) @@ -75,25 +75,6 @@ struct output memout = {NULL, 0, NULL, 0 struct output *out1 = &output; struct output *out2 = &errout; - - -#ifdef mkinit - -INCLUDE "output.h" -INCLUDE "memalloc.h" - -RESET { - out1 = &output; - out2 = &errout; - if (memout.buf != NULL) { - ckfree(memout.buf); - memout.buf = NULL; - } -} - -#endif - - void outcslow(int c, struct output *file) { Modified: projects/camlock/bin/sh/parser.c ============================================================================== --- projects/camlock/bin/sh/parser.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/parser.c Mon Aug 5 07:10:57 2013 (r253951) @@ -96,9 +96,9 @@ static struct heredoc *heredoclist; /* l static int doprompt; /* if set, prompt the user */ static int needprompt; /* true if interactive and at start of line */ static int lasttoken; /* last token read */ -MKINIT int tokpushback; /* last token pushed back */ +int tokpushback; /* last token pushed back */ static char *wordtext; /* text of last word returned by readtoken */ -MKINIT int checkkwd; /* 1 == check for kwds, 2 == also eat newlines */ +static int checkkwd; static struct nodelist *backquotelist; static union node *redirnode; static struct heredoc *heredoc; @@ -1819,13 +1819,13 @@ parsearith: { } /* end of readtoken */ - -#ifdef mkinit -RESET { +void +resetparser(void) +{ tokpushback = 0; checkkwd = 0; } -#endif + /* * Returns true if the text contains nothing to expand (no dollar signs Modified: projects/camlock/bin/sh/parser.h ============================================================================== --- projects/camlock/bin/sh/parser.h Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/parser.h Mon Aug 5 07:10:57 2013 (r253951) @@ -79,6 +79,7 @@ extern const char *const parsekwd[]; union node *parsecmd(int); void fixredir(union node *, const char *, int); +void resetparser(void); int goodname(const char *); int isassignment(const char *); char *getprompt(void *); Modified: projects/camlock/bin/sh/redir.c ============================================================================== --- projects/camlock/bin/sh/redir.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/redir.c Mon Aug 5 07:10:57 2013 (r253951) @@ -66,14 +66,13 @@ __FBSDID("$FreeBSD$"); #define CLOSED -1 /* fd was not open before redir */ -MKINIT struct redirtab { struct redirtab *next; int renamed[10]; }; -MKINIT struct redirtab *redirlist; +static struct redirtab *redirlist; /* * We keep track of whether or not fd0 has been redirected. This is for @@ -324,16 +323,13 @@ popredir(void) * Undo all redirections. Called on error or interrupt. */ -#ifdef mkinit - -INCLUDE "redir.h" - -RESET { +void +resetredir(void) +{ while (redirlist) popredir(); } -#endif /* Return true if fd 0 has already been redirected at least once. */ int Modified: projects/camlock/bin/sh/redir.h ============================================================================== --- projects/camlock/bin/sh/redir.h Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/redir.h Mon Aug 5 07:10:57 2013 (r253951) @@ -40,6 +40,7 @@ union node; void redirect(union node *, int); void popredir(void); +void resetredir(void); int fd0_redirected_p(void); void clearredir(void); Modified: projects/camlock/bin/sh/shell.h ============================================================================== --- projects/camlock/bin/sh/shell.h Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/shell.h Mon Aug 5 07:10:57 2013 (r253951) @@ -63,7 +63,6 @@ typedef intmax_t arith_t; #define ARITH_MAX INTMAX_MAX typedef void *pointer; -#define MKINIT /* empty */ #include Modified: projects/camlock/bin/sh/trap.c ============================================================================== --- projects/camlock/bin/sh/trap.c Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/bin/sh/trap.c Mon Aug 5 07:10:57 2013 (r253951) @@ -72,7 +72,7 @@ __FBSDID("$FreeBSD$"); #define S_RESET 5 /* temporary - to reset a hard ignored sig */ -MKINIT char sigmode[NSIG]; /* current value of signal */ +static char sigmode[NSIG]; /* current value of signal */ volatile sig_atomic_t pendingsig; /* indicates some signal received */ int in_dotrap; /* do we execute in a trap handler? */ static char *volatile trap[NSIG]; /* trap handler commands */ Modified: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl ============================================================================== --- projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl Mon Aug 5 07:10:57 2013 (r253951) @@ -583,6 +583,8 @@ if ($opt_x) { die "$PNAME: failed to open $PNAME.$$.log: $!\n" unless (!$opt_l || open(LOG, ">$PNAME.$$.log")); +$ENV{'DTRACE_DEBUG_REGSET'} = 'true'; + if ($opt_g) { $ENV{'UMEM_DEBUG'} = 'default,verbose'; $ENV{'UMEM_LOGGING'} = 'fail,contents'; Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d) @@ -0,0 +1,35 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +#pragma D option quiet + +/* + * Make sure the sizes of compatible keys doesn't affect the sort order. + */ + +BEGIN +{ + @[(int)1, 0] = sum(10); + @[(uint64_t)2, 0] = sum(20); + @[(int)3, 0] = sum(30); + @[(uint64_t)4, 0] = sum(40); + printa(@); + + exit(0); +} Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out) @@ -0,0 +1,6 @@ + + 1 0 10 + 2 0 20 + 3 0 30 + 4 0 40 + Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out) @@ -0,0 +1,8 @@ +The value of i is 6 +The value of i is 18 +The value of i is 72 +The value of i is 25920 +The value of i is 935761216 +The value of i is -91738734 +The value of i is -91738729 + Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d) @@ -0,0 +1,50 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +/* + * Test compile-time casting between integer types of different size. + */ + +#pragma D option quiet + +int64_t x; + +BEGIN +{ + x = (int32_t)(int16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (int32_t)(uint16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(int16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(uint16_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + printf("\n"); + + x = (int16_t)(int32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (int16_t)(uint32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(int32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(uint32_t)0xfff0; + printf("%16x %20d %20u\n", x, x, x); + + exit(0); +} Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out) @@ -0,0 +1,10 @@ +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fffffff0 4294967280 4294967280 + fff0 65520 65520 + +fffffffffffffff0 -16 18446744073709551600 +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fff0 65520 65520 + Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d) @@ -0,0 +1,36 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +/* + * Test narrowing at assignment. + */ + +#pragma D option quiet + +uint16_t x; +uint32_t y; + +BEGIN +{ + x = 0xbeefcafe; + y = x; + printf("%x", y); /* where's the beef? */ + + exit(0); +} Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out) @@ -0,0 +1 @@ +cafe Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d) @@ -0,0 +1,52 @@ +/* + * CDDL HEADER START + * + * This file and its contents are supplied under the terms of the + * Common Development and Distribution License ("CDDL"), version 1.0. + * You may only use this file in accordance with the terms of version + * 1.0 of the CDDL. + * + * A full copy of the text of the CDDL should have accompanied this + * source. A copy of the CDDL is also available via the Internet at + * http://www.illumos.org/license/CDDL. + * + * CDDL HEADER END + */ + +/* + * Copyright (c) 2012 by Delphix. All rights reserved. + */ + +/* + * Test execution-time casting between integer types of different size. + */ + +#pragma D option quiet + +int64_t x; + +BEGIN +{ + z = 0xfff0; + + x = (int32_t)(int16_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (int32_t)(uint16_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(int16_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint32_t)(uint16_t)z; + printf("%16x %20d %20u\n", x, x, x); + printf("\n"); + + x = (int16_t)(int32_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (int16_t)(uint32_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(int32_t)z; + printf("%16x %20d %20u\n", x, x, x); + x = (uint16_t)(uint32_t)z; + printf("%16x %20d %20u\n", x, x, x); + + exit(0); +} Copied: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out (from r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out) ============================================================================== --- /dev/null 00:00:00 1970 (empty, because file is newly added) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out Mon Aug 5 07:10:57 2013 (r253951, copy of r253950, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out) @@ -0,0 +1,10 @@ +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fffffff0 4294967280 4294967280 + fff0 65520 65520 + +fffffffffffffff0 -16 18446744073709551600 +fffffffffffffff0 -16 18446744073709551600 + fff0 65520 65520 + fff0 65520 65520 + Modified: projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d ============================================================================== --- projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d Mon Aug 5 00:36:12 2013 (r253950) +++ projects/camlock/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d Mon Aug 5 07:10:57 2013 (r253951) @@ -36,12 +36,12 @@ #pragma D option quiet #pragma D option statusrate=10ms -fbt::ioctl:entry +fbt::kern_ioctl:entry { printf("Entering the ioctl function\n"); } -fbt::ioctl:return *** DIFF OUTPUT TRUNCATED AT 1000 LINES *** From owner-svn-src-projects@FreeBSD.ORG Mon Aug 5 11:56:50 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 425AB652; Mon, 5 Aug 2013 11:56:50 +0000 (UTC) (envelope-from mav@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 12EC5293F; Mon, 5 Aug 2013 11:56:50 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r75BunP8064558; Mon, 5 Aug 2013 11:56:49 GMT (envelope-from mav@svn.freebsd.org) Received: (from mav@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r75BulU9064540; Mon, 5 Aug 2013 11:56:47 GMT (envelope-from mav@svn.freebsd.org) Message-Id: <201308051156.r75BulU9064540@svn.freebsd.org> From: Alexander Motin Date: Mon, 5 Aug 2013 11:56:47 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253959 - in projects/camlock: sbin/geom/class/eli sys/cddl/contrib/opensolaris/uts/common/fs/zfs sys/conf sys/fs/tmpfs sys/kern sys/vm X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Mon, 05 Aug 2013 11:56:50 -0000 Author: mav Date: Mon Aug 5 11:56:47 2013 New Revision: 253959 URL: http://svnweb.freebsd.org/changeset/base/253959 Log: MFC @ r253958 Modified: projects/camlock/sbin/geom/class/eli/geli.8 projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vnops.c projects/camlock/sys/conf/newvers.sh projects/camlock/sys/fs/tmpfs/tmpfs_vnops.c projects/camlock/sys/kern/imgact_elf.c projects/camlock/sys/kern/kern_exec.c projects/camlock/sys/kern/sys_process.c projects/camlock/sys/vm/vm_extern.h projects/camlock/sys/vm/vm_fault.c projects/camlock/sys/vm/vm_glue.c projects/camlock/sys/vm/vm_map.h Directory Properties: projects/camlock/ (props changed) projects/camlock/sbin/ (props changed) projects/camlock/sys/ (props changed) projects/camlock/sys/cddl/contrib/opensolaris/ (props changed) projects/camlock/sys/conf/ (props changed) Modified: projects/camlock/sbin/geom/class/eli/geli.8 ============================================================================== --- projects/camlock/sbin/geom/class/eli/geli.8 Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sbin/geom/class/eli/geli.8 Mon Aug 5 11:56:47 2013 (r253959) @@ -24,7 +24,7 @@ .\" .\" $FreeBSD$ .\" -.Dd June 18, 2012 +.Dd July 5, 2013 .Dt GELI 8 .Os .Sh NAME @@ -285,11 +285,14 @@ Currently supported algorithms are: .Nm AES-XTS , .Nm AES-CBC , .Nm Blowfish-CBC , -.Nm Camellia-CBC +.Nm Camellia-CBC , +.Nm 3DES-CBC , and -.Nm 3DES-CBC . +.Nm NULL . The default and recommended algorithm is .Nm AES-XTS . +.Nm NULL +is unencrypted. .It Fl i Ar iterations Number of iterations to use with PKCS#5v2 when processing User Key passphrase component. Modified: projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vnops.c ============================================================================== --- projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vnops.c Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vnops.c Mon Aug 5 11:56:47 2013 (r253959) @@ -324,8 +324,7 @@ zfs_ioctl(vnode_t *vp, u_long com, intpt } static vm_page_t -page_busy(vnode_t *vp, int64_t start, int64_t off, int64_t nbytes, - boolean_t alloc) +page_busy(vnode_t *vp, int64_t start, int64_t off, int64_t nbytes) { vm_object_t obj; vm_page_t pp; @@ -347,8 +346,6 @@ page_busy(vnode_t *vp, int64_t start, in continue; } } else if (pp == NULL) { - if (!alloc) - break; pp = vm_page_alloc(obj, OFF_TO_IDX(start), VM_ALLOC_SYSTEM | VM_ALLOC_IFCACHED | VM_ALLOC_NOBUSY); @@ -359,10 +356,8 @@ page_busy(vnode_t *vp, int64_t start, in if (pp != NULL) { ASSERT3U(pp->valid, ==, VM_PAGE_BITS_ALL); - vm_page_io_start(pp); - if (!alloc) - break; vm_object_pip_add(obj, 1); + vm_page_io_start(pp); pmap_remove_write(pp); vm_page_clear_dirty(pp, off, nbytes); } @@ -372,12 +367,55 @@ page_busy(vnode_t *vp, int64_t start, in } static void -page_unbusy(vm_page_t pp, boolean_t unalloc) +page_unbusy(vm_page_t pp) { vm_page_io_finish(pp); - if (unalloc) - vm_object_pip_subtract(pp->object, 1); + vm_object_pip_subtract(pp->object, 1); +} + +static vm_page_t +page_hold(vnode_t *vp, int64_t start) +{ + vm_object_t obj; + vm_page_t pp; + + obj = vp->v_object; + zfs_vmobject_assert_wlocked(obj); + + for (;;) { + if ((pp = vm_page_lookup(obj, OFF_TO_IDX(start))) != NULL && + pp->valid) { + if ((pp->oflags & VPO_BUSY) != 0) { + /* + * Reference the page before unlocking and + * sleeping so that the page daemon is less + * likely to reclaim it. + */ + vm_page_reference(pp); + vm_page_sleep(pp, "zfsmwb"); + continue; + } + + ASSERT3U(pp->valid, ==, VM_PAGE_BITS_ALL); + vm_page_lock(pp); + vm_page_hold(pp); + vm_page_unlock(pp); + + } else + pp = NULL; + break; + } + return (pp); +} + +static void +page_unhold(vm_page_t pp) +{ + + vm_page_lock(pp); + vm_page_unhold(pp); + vm_page_unlock(pp); } static caddr_t @@ -441,8 +479,7 @@ update_pages(vnode_t *vp, int64_t start, zfs_vmobject_wlock(obj); vm_page_undirty(pp); - } else if ((pp = page_busy(vp, start, off, nbytes, - TRUE)) != NULL) { + } else if ((pp = page_busy(vp, start, off, nbytes)) != NULL) { zfs_vmobject_wunlock(obj); va = zfs_map_page(pp, &sf); @@ -451,7 +488,7 @@ update_pages(vnode_t *vp, int64_t start, zfs_unmap_page(sf); zfs_vmobject_wlock(obj); - page_unbusy(pp, TRUE); + page_unbusy(pp); } len -= nbytes; off = 0; @@ -561,7 +598,7 @@ mappedread(vnode_t *vp, int nbytes, uio_ vm_page_t pp; uint64_t bytes = MIN(PAGESIZE - off, len); - if (pp = page_busy(vp, start, 0, 0, FALSE)) { + if (pp = page_hold(vp, start)) { struct sf_buf *sf; caddr_t va; @@ -570,7 +607,7 @@ mappedread(vnode_t *vp, int nbytes, uio_ error = uiomove(va + off, bytes, UIO_READ, uio); zfs_unmap_page(sf); zfs_vmobject_wlock(obj); - page_unbusy(pp, FALSE); + page_unhold(pp); } else { zfs_vmobject_wunlock(obj); error = dmu_read_uio(os, zp->z_id, uio, bytes); Modified: projects/camlock/sys/conf/newvers.sh ============================================================================== --- projects/camlock/sys/conf/newvers.sh Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/conf/newvers.sh Mon Aug 5 11:56:47 2013 (r253959) @@ -116,7 +116,7 @@ if [ -d "${SYSDIR}/../.git" ] ; then fi if [ -n "$svnversion" ] ; then - svn=`cd ${SYSDIR} && $svnversion` + svn=`cd ${SYSDIR} && $svnversion 2>/dev/null` case "$svn" in [0-9]*) svn=" r${svn}" ;; *) unset svn ;; Modified: projects/camlock/sys/fs/tmpfs/tmpfs_vnops.c ============================================================================== --- projects/camlock/sys/fs/tmpfs/tmpfs_vnops.c Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/fs/tmpfs/tmpfs_vnops.c Mon Aug 5 11:56:47 2013 (r253959) @@ -485,13 +485,13 @@ tmpfs_nocacheread(vm_object_t tobj, vm_p vm_page_zero_invalid(m, TRUE); vm_page_wakeup(m); } - vm_page_io_start(m); + vm_page_lock(m); + vm_page_hold(m); + vm_page_unlock(m); VM_OBJECT_WUNLOCK(tobj); error = uiomove_fromphys(&m, offset, tlen, uio); - VM_OBJECT_WLOCK(tobj); - vm_page_io_finish(m); - VM_OBJECT_WUNLOCK(tobj); vm_page_lock(m); + vm_page_unhold(m); if (m->queue == PQ_NONE) { vm_page_deactivate(m); } else { @@ -602,14 +602,16 @@ tmpfs_mappedwrite(vm_object_t tobj, size vm_page_zero_invalid(tpg, TRUE); vm_page_wakeup(tpg); } - vm_page_io_start(tpg); + vm_page_lock(tpg); + vm_page_hold(tpg); + vm_page_unlock(tpg); VM_OBJECT_WUNLOCK(tobj); error = uiomove_fromphys(&tpg, offset, tlen, uio); VM_OBJECT_WLOCK(tobj); - vm_page_io_finish(tpg); if (error == 0) vm_page_dirty(tpg); vm_page_lock(tpg); + vm_page_unhold(tpg); if (tpg->queue == PQ_NONE) { vm_page_deactivate(tpg); } else { Modified: projects/camlock/sys/kern/imgact_elf.c ============================================================================== --- projects/camlock/sys/kern/imgact_elf.c Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/kern/imgact_elf.c Mon Aug 5 11:56:47 2013 (r253959) @@ -378,7 +378,7 @@ __elfN(map_partial)(vm_map_t map, vm_obj off = offset - trunc_page(offset); error = copyout((caddr_t)sf_buf_kva(sf) + off, (caddr_t)start, end - start); - vm_imgact_unmap_page(object, sf); + vm_imgact_unmap_page(sf); if (error) { return (KERN_FAILURE); } @@ -433,7 +433,7 @@ __elfN(map_insert)(vm_map_t map, vm_obje sz = PAGE_SIZE - off; error = copyout((caddr_t)sf_buf_kva(sf) + off, (caddr_t)start, sz); - vm_imgact_unmap_page(object, sf); + vm_imgact_unmap_page(sf); if (error) { return (KERN_FAILURE); } @@ -553,7 +553,7 @@ __elfN(load_section)(struct image_params trunc_page(offset + filsz); error = copyout((caddr_t)sf_buf_kva(sf) + off, (caddr_t)map_addr, copy_len); - vm_imgact_unmap_page(object, sf); + vm_imgact_unmap_page(sf); if (error) { return (error); } Modified: projects/camlock/sys/kern/kern_exec.c ============================================================================== --- projects/camlock/sys/kern/kern_exec.c Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/kern/kern_exec.c Mon Aug 5 11:56:47 2013 (r253959) @@ -973,7 +973,7 @@ exec_map_first_page(imgp) vm_page_wakeup(ma[0]); } vm_page_lock(ma[0]); - vm_page_wire(ma[0]); + vm_page_hold(ma[0]); vm_page_unlock(ma[0]); VM_OBJECT_WUNLOCK(object); @@ -994,7 +994,7 @@ exec_unmap_first_page(imgp) sf_buf_free(imgp->firstpage); imgp->firstpage = NULL; vm_page_lock(m); - vm_page_unwire(m, 0); + vm_page_unhold(m); vm_page_unlock(m); } } Modified: projects/camlock/sys/kern/sys_process.c ============================================================================== --- projects/camlock/sys/kern/sys_process.c Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/kern/sys_process.c Mon Aug 5 11:56:47 2013 (r253959) @@ -263,7 +263,6 @@ proc_rwmem(struct proc *p, struct uio *u writing = uio->uio_rw == UIO_WRITE; reqprot = writing ? VM_PROT_COPY | VM_PROT_READ : VM_PROT_READ; fault_flags = writing ? VM_FAULT_DIRTY : VM_FAULT_NORMAL; - fault_flags |= VM_FAULT_IOBUSY; /* * Only map in one page at a time. We don't have to, but it @@ -288,9 +287,9 @@ proc_rwmem(struct proc *p, struct uio *u len = min(PAGE_SIZE - page_offset, uio->uio_resid); /* - * Fault and busy the page on behalf of the process. + * Fault and hold the page on behalf of the process. */ - error = vm_fault_handle(map, pageno, reqprot, fault_flags, &m); + error = vm_fault_hold(map, pageno, reqprot, fault_flags, &m); if (error != KERN_SUCCESS) { if (error == KERN_RESOURCE_SHORTAGE) error = ENOMEM; @@ -316,9 +315,9 @@ proc_rwmem(struct proc *p, struct uio *u /* * Release the page. */ - VM_OBJECT_WLOCK(m->object); - vm_page_io_finish(m); - VM_OBJECT_WUNLOCK(m->object); + vm_page_lock(m); + vm_page_unhold(m); + vm_page_unlock(m); } while (error == 0 && uio->uio_resid > 0); Modified: projects/camlock/sys/vm/vm_extern.h ============================================================================== --- projects/camlock/sys/vm/vm_extern.h Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/vm/vm_extern.h Mon Aug 5 11:56:47 2013 (r253959) @@ -63,7 +63,7 @@ void vm_fault_copy_entry(vm_map_t, vm_ma vm_ooffset_t *); int vm_fault_disable_pagefaults(void); void vm_fault_enable_pagefaults(int save); -int vm_fault_handle(vm_map_t map, vm_offset_t vaddr, vm_prot_t fault_type, +int vm_fault_hold(vm_map_t map, vm_offset_t vaddr, vm_prot_t fault_type, int fault_flags, vm_page_t *m_hold); int vm_fault_quick_hold_pages(vm_map_t map, vm_offset_t addr, vm_size_t len, vm_prot_t prot, vm_page_t *ma, int max_count); @@ -87,7 +87,7 @@ void vnode_pager_setsize(struct vnode *, int vslock(void *, size_t); void vsunlock(void *, size_t); struct sf_buf *vm_imgact_map_page(vm_object_t object, vm_ooffset_t offset); -void vm_imgact_unmap_page(vm_object_t, struct sf_buf *sf); +void vm_imgact_unmap_page(struct sf_buf *sf); void vm_thread_dispose(struct thread *td); int vm_thread_new(struct thread *td, int pages); int vm_mlock(struct proc *, struct ucred *, const void *, size_t); Modified: projects/camlock/sys/vm/vm_fault.c ============================================================================== --- projects/camlock/sys/vm/vm_fault.c Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/vm/vm_fault.c Mon Aug 5 11:56:47 2013 (r253959) @@ -221,8 +221,8 @@ vm_fault(vm_map_t map, vm_offset_t vaddr if (map != kernel_map && KTRPOINT(td, KTR_FAULT)) ktrfault(vaddr, fault_type); #endif - result = vm_fault_handle(map, trunc_page(vaddr), fault_type, - fault_flags, NULL); + result = vm_fault_hold(map, trunc_page(vaddr), fault_type, fault_flags, + NULL); #ifdef KTRACE if (map != kernel_map && KTRPOINT(td, KTR_FAULTEND)) ktrfaultend(result); @@ -231,7 +231,7 @@ vm_fault(vm_map_t map, vm_offset_t vaddr } int -vm_fault_handle(vm_map_t map, vm_offset_t vaddr, vm_prot_t fault_type, +vm_fault_hold(vm_map_t map, vm_offset_t vaddr, vm_prot_t fault_type, int fault_flags, vm_page_t *m_hold) { vm_prot_t prot; @@ -943,10 +943,7 @@ vnode_locked: vm_page_activate(fs.m); if (m_hold != NULL) { *m_hold = fs.m; - if (fault_flags & VM_FAULT_IOBUSY) - vm_page_io_start(fs.m); - else - vm_page_hold(fs.m); + vm_page_hold(fs.m); } vm_page_unlock(fs.m); vm_page_wakeup(fs.m); @@ -1148,7 +1145,7 @@ vm_fault_quick_hold_pages(vm_map_t map, * and hold these pages. */ for (mp = ma, va = addr; va < end; mp++, va += PAGE_SIZE) - if (*mp == NULL && vm_fault_handle(map, va, prot, + if (*mp == NULL && vm_fault_hold(map, va, prot, VM_FAULT_NORMAL, mp) != KERN_SUCCESS) goto error; } Modified: projects/camlock/sys/vm/vm_glue.c ============================================================================== --- projects/camlock/sys/vm/vm_glue.c Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/vm/vm_glue.c Mon Aug 5 11:56:47 2013 (r253959) @@ -223,7 +223,7 @@ vsunlock(void *addr, size_t len) * Return the pinned page if successful; otherwise, return NULL. */ static vm_page_t -vm_imgact_page_iostart(vm_object_t object, vm_ooffset_t offset) +vm_imgact_hold_page(vm_object_t object, vm_ooffset_t offset) { vm_page_t m, ma[1]; vm_pindex_t pindex; @@ -249,7 +249,9 @@ vm_imgact_page_iostart(vm_object_t objec } vm_page_wakeup(m); } - vm_page_io_start(m); + vm_page_lock(m); + vm_page_hold(m); + vm_page_unlock(m); out: VM_OBJECT_WUNLOCK(object); return (m); @@ -264,7 +266,7 @@ vm_imgact_map_page(vm_object_t object, v { vm_page_t m; - m = vm_imgact_page_iostart(object, offset); + m = vm_imgact_hold_page(object, offset); if (m == NULL) return (NULL); sched_pin(); @@ -275,16 +277,16 @@ vm_imgact_map_page(vm_object_t object, v * Destroy the given CPU private mapping and unpin the page that it mapped. */ void -vm_imgact_unmap_page(vm_object_t object, struct sf_buf *sf) +vm_imgact_unmap_page(struct sf_buf *sf) { vm_page_t m; m = sf_buf_page(sf); sf_buf_free(sf); sched_unpin(); - VM_OBJECT_WLOCK(object); - vm_page_io_finish(m); - VM_OBJECT_WUNLOCK(object); + vm_page_lock(m); + vm_page_unhold(m); + vm_page_unlock(m); } void Modified: projects/camlock/sys/vm/vm_map.h ============================================================================== --- projects/camlock/sys/vm/vm_map.h Mon Aug 5 11:48:40 2013 (r253958) +++ projects/camlock/sys/vm/vm_map.h Mon Aug 5 11:56:47 2013 (r253959) @@ -329,7 +329,6 @@ long vmspace_resident_count(struct vmspa #define VM_FAULT_NORMAL 0 /* Nothing special */ #define VM_FAULT_CHANGE_WIRING 1 /* Change the wiring as appropriate */ #define VM_FAULT_DIRTY 2 /* Dirty the page; use w/VM_PROT_COPY */ -#define VM_FAULT_IOBUSY 4 /* Busy the faulted page */ /* * Initially, mappings are slightly sequential. The maximum window size must From owner-svn-src-projects@FreeBSD.ORG Mon Aug 5 12:18:35 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id E7BDF310; Mon, 5 Aug 2013 12:18:35 +0000 (UTC) (envelope-from mav@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id BC0742A7A; Mon, 5 Aug 2013 12:18:35 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r75CIZBr071355; Mon, 5 Aug 2013 12:18:35 GMT (envelope-from mav@svn.freebsd.org) Received: (from mav@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r75CIZTW071354; Mon, 5 Aug 2013 12:18:35 GMT (envelope-from mav@svn.freebsd.org) Message-Id: <201308051218.r75CIZTW071354@svn.freebsd.org> From: Alexander Motin Date: Mon, 5 Aug 2013 12:18:35 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253961 - in projects/camlock: . sys X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Mon, 05 Aug 2013 12:18:36 -0000 Author: mav Date: Mon Aug 5 12:18:35 2013 New Revision: 253961 URL: http://svnweb.freebsd.org/changeset/base/253961 Log: MFC @ 253960 Modified: Directory Properties: projects/camlock/ (props changed) projects/camlock/sys/ (props changed) From owner-svn-src-projects@FreeBSD.ORG Tue Aug 6 05:02:16 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id D5B6119A; Tue, 6 Aug 2013 05:02:16 +0000 (UTC) (envelope-from bryanv@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id A99DF2788; Tue, 6 Aug 2013 05:02:16 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r7652GBm054048; Tue, 6 Aug 2013 05:02:16 GMT (envelope-from bryanv@svn.freebsd.org) Received: (from bryanv@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r7652Fk9054043; Tue, 6 Aug 2013 05:02:15 GMT (envelope-from bryanv@svn.freebsd.org) Message-Id: <201308060502.r7652Fk9054043@svn.freebsd.org> From: Bryan Venteicher Date: Tue, 6 Aug 2013 05:02:15 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r253981 - in projects/vmxnet/sys: dev/vmware/vmxnet3 modules/vmware/vmt modules/vmware/vmxnet modules/vmware/vmxnet3 X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 06 Aug 2013 05:02:16 -0000 Author: bryanv Date: Tue Aug 6 05:02:15 2013 New Revision: 253981 URL: http://svnweb.freebsd.org/changeset/base/253981 Log: AFAIK, setting MFILES is not need in these Makefiles And add a cast missed in the last commit. Modified: projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c projects/vmxnet/sys/modules/vmware/vmt/Makefile projects/vmxnet/sys/modules/vmware/vmxnet/Makefile projects/vmxnet/sys/modules/vmware/vmxnet3/Makefile Modified: projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c ============================================================================== --- projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c Tue Aug 6 03:17:01 2013 (r253980) +++ projects/vmxnet/sys/dev/vmware/vmxnet3/if_vmx.c Tue Aug 6 05:02:15 2013 (r253981) @@ -1394,7 +1394,7 @@ vmxnet3_reinit_shared_data(struct vmxnet vmxnet3_write_bar1(sc, VMXNET3_BAR1_DSL, sc->vmx_ds_dma.dma_paddr); vmxnet3_write_bar1(sc, VMXNET3_BAR1_DSH, - sc->vmx_ds_dma.dma_paddr >> 32); + (uint64_t) sc->vmx_ds_dma.dma_paddr >> 32); } static int Modified: projects/vmxnet/sys/modules/vmware/vmt/Makefile ============================================================================== --- projects/vmxnet/sys/modules/vmware/vmt/Makefile Tue Aug 6 03:17:01 2013 (r253980) +++ projects/vmxnet/sys/modules/vmware/vmt/Makefile Tue Aug 6 05:02:15 2013 (r253981) @@ -29,6 +29,4 @@ KMOD= vmt SRCS= vmt.c SRCS+= bus_if.h device_if.h -MFILES= kern/bus_if.m kern/device_if.m - .include Modified: projects/vmxnet/sys/modules/vmware/vmxnet/Makefile ============================================================================== --- projects/vmxnet/sys/modules/vmware/vmxnet/Makefile Tue Aug 6 03:17:01 2013 (r253980) +++ projects/vmxnet/sys/modules/vmware/vmxnet/Makefile Tue Aug 6 05:02:15 2013 (r253981) @@ -29,6 +29,4 @@ KMOD= if_vic SRCS= if_vic.c SRCS+= bus_if.h device_if.h -MFILES= kern/bus_if.m kern/device_if.m - .include Modified: projects/vmxnet/sys/modules/vmware/vmxnet3/Makefile ============================================================================== --- projects/vmxnet/sys/modules/vmware/vmxnet3/Makefile Tue Aug 6 03:17:01 2013 (r253980) +++ projects/vmxnet/sys/modules/vmware/vmxnet3/Makefile Tue Aug 6 05:02:15 2013 (r253981) @@ -28,7 +28,6 @@ KMOD= if_vmx SRCS= if_vmx.c SRCS+= bus_if.h device_if.h pci_if.h opt_inet.h opt_inet6.h -MFILES= kern/bus_if.m kern/device_if.m dev/pci/pci_if.m .if !defined(KERNBUILDDIR) .if ${MK_INET_SUPPORT} != "no" From owner-svn-src-projects@FreeBSD.ORG Tue Aug 6 18:33:25 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 92994D0; Tue, 6 Aug 2013 18:33:25 +0000 (UTC) (envelope-from jhb@freebsd.org) Received: from bigwig.baldwin.cx (bigwig.baldwin.cx [IPv6:2001:470:1f11:75::1]) (using TLSv1 with cipher ADH-CAMELLIA256-SHA (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 6996A2ED6; Tue, 6 Aug 2013 18:33:25 +0000 (UTC) Received: from jhbbsd.localnet (unknown [209.249.190.124]) by bigwig.baldwin.cx (Postfix) with ESMTPSA id 31A78B91A; Tue, 6 Aug 2013 14:33:24 -0400 (EDT) From: John Baldwin To: "Jung-uk Kim" Subject: Re: svn commit: r253872 - in projects/atomic64/sys: amd64/include i386/include Date: Tue, 6 Aug 2013 12:37:01 -0400 User-Agent: KMail/1.13.5 (FreeBSD/8.2-CBSD-20110714-p28; KDE/4.5.5; amd64; ; ) References: <201308012320.r71NKWlN083697@svn.freebsd.org> In-Reply-To: <201308012320.r71NKWlN083697@svn.freebsd.org> MIME-Version: 1.0 Content-Type: Text/Plain; charset="utf-8" Content-Transfer-Encoding: 7bit Message-Id: <201308061237.01659.jhb@freebsd.org> X-Greylist: Sender succeeded SMTP AUTH, not delayed by milter-greylist-4.2.7 (bigwig.baldwin.cx); Tue, 06 Aug 2013 14:33:24 -0400 (EDT) Cc: svn-src-projects@freebsd.org, src-committers@freebsd.org X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Tue, 06 Aug 2013 18:33:25 -0000 On Thursday, August 01, 2013 7:20:32 pm Jung-uk Kim wrote: > Author: jkim > Date: Thu Aug 1 23:20:31 2013 > New Revision: 253872 > URL: http://svnweb.freebsd.org/changeset/base/253872 > > Log: > Allow any register to be used for the return value. You need to clobber eax/rax then as cmpxchg always writes the current value to that register. -- John Baldwin From owner-svn-src-projects@FreeBSD.ORG Wed Aug 7 00:10:03 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:1900:2254:206a::19:1]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 94AC276E; Wed, 7 Aug 2013 00:10:03 +0000 (UTC) (envelope-from grehan@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 7A5B32BF1; Wed, 7 Aug 2013 00:10:03 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r770A3eH024812; Wed, 7 Aug 2013 00:10:03 GMT (envelope-from grehan@svn.freebsd.org) Received: (from grehan@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r770A2g6023706; Wed, 7 Aug 2013 00:10:02 GMT (envelope-from grehan@svn.freebsd.org) Message-Id: <201308070010.r770A2g6023706@svn.freebsd.org> From: Peter Grehan Date: Wed, 7 Aug 2013 00:10:02 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r254016 - in projects/bhyve_svm: . bin/cat bin/chio bin/dd bin/df bin/domainname bin/ed bin/expr bin/hostname bin/kenv bin/kill bin/ln bin/mkdir bin/rm bin/sh bin/sleep bin/test cddl/co... X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 07 Aug 2013 00:10:03 -0000 Author: grehan Date: Wed Aug 7 00:09:49 2013 New Revision: 254016 URL: http://svnweb.freebsd.org/changeset/base/254016 Log: IFC @ r254014 Added: projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/aggs/tst.sizedkeys.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.basics.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compcast.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.compnarrowassign.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.execcast.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/cg/ - copied from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/cg/ projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pragma/tst.temporal.ksh - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pragma/tst.temporal.ksh projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pragma/tst.temporal2.ksh - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pragma/tst.temporal2.ksh projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pragma/tst.temporal3.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pragma/tst.temporal3.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_AGG.bad.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_AGG.bad.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.dyn.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.dyn.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.enum.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.enum.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.enum.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.enum.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/tst.xlate.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.signs.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_AGG.bad.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_AGG.bad.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/tst.dyn.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/tst.dyn.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability1.ksh.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh.out - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/tst.TestTransStability2.ksh.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/types/tst.const.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/types/tst.const.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/usdt/tst.noreap.ksh - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/usdt/tst.noreap.ksh projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/usdt/tst.noreapring.ksh - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/usdt/tst.noreapring.ksh projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/usdt/tst.reap.ksh - copied unchanged from r254014, head/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/usdt/tst.reap.ksh projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_pq.c - copied unchanged from r254014, head/cddl/contrib/opensolaris/lib/libdtrace/common/dt_pq.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_pq.h - copied unchanged from r254014, head/cddl/contrib/opensolaris/lib/libdtrace/common/dt_pq.h projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzpool/common/zfs.d - copied unchanged from r254014, head/cddl/contrib/opensolaris/lib/libzpool/common/zfs.d projects/bhyve_svm/cddl/lib/libdtrace/nfssrv.d - copied unchanged from r254014, head/cddl/lib/libdtrace/nfssrv.d - copied from r254014, head/contrib/apr/ - copied from r254014, head/contrib/apr-util/ projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/eui48_108.c - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/eui48_108.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/eui48_108.h - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/eui48_108.h projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/eui64_109.c - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/eui64_109.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/eui64_109.h - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/eui64_109.h projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/l32_105.c - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/l32_105.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/l32_105.h - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/l32_105.h projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/l64_106.c - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/l64_106.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/l64_106.h - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/l64_106.h projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/lp_107.c - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/lp_107.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/lp_107.h - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/lp_107.h projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/nid_104.c - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/nid_104.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/nid_104.h - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/nid_104.h projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/uri_256.c - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/uri_256.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/uri_256.h - copied unchanged from r254014, head/contrib/bind9/lib/dns/rdata/generic/uri_256.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/regex.h - copied unchanged from r254014, head/contrib/bind9/lib/isc/include/isc/regex.h projects/bhyve_svm/contrib/bind9/lib/isc/regex.c - copied unchanged from r254014, head/contrib/bind9/lib/isc/regex.c projects/bhyve_svm/contrib/bmake/mk/stage-install.sh - copied unchanged from r254014, head/contrib/bmake/mk/stage-install.sh projects/bhyve_svm/contrib/bmake/unit-tests/export-env - copied unchanged from r254014, head/contrib/bmake/unit-tests/export-env projects/bhyve_svm/contrib/dialog/buildlist.c - copied unchanged from r254014, head/contrib/dialog/buildlist.c projects/bhyve_svm/contrib/dialog/po/an.po - copied unchanged from r254014, head/contrib/dialog/po/an.po projects/bhyve_svm/contrib/dialog/po/ia.po - copied unchanged from r254014, head/contrib/dialog/po/ia.po projects/bhyve_svm/contrib/dialog/rangebox.c - copied unchanged from r254014, head/contrib/dialog/rangebox.c projects/bhyve_svm/contrib/dialog/samples/buildlist - copied unchanged from r254014, head/contrib/dialog/samples/buildlist projects/bhyve_svm/contrib/dialog/samples/buildlist2 - copied unchanged from r254014, head/contrib/dialog/samples/buildlist2 projects/bhyve_svm/contrib/dialog/samples/checklist12 - copied unchanged from r254014, head/contrib/dialog/samples/checklist12 projects/bhyve_svm/contrib/dialog/samples/dft-cancel - copied unchanged from r254014, head/contrib/dialog/samples/dft-cancel projects/bhyve_svm/contrib/dialog/samples/dft-extra - copied unchanged from r254014, head/contrib/dialog/samples/dft-extra projects/bhyve_svm/contrib/dialog/samples/dft-help - copied unchanged from r254014, head/contrib/dialog/samples/dft-help projects/bhyve_svm/contrib/dialog/samples/dft-no - copied unchanged from r254014, head/contrib/dialog/samples/dft-no projects/bhyve_svm/contrib/dialog/samples/fselect0 - copied unchanged from r254014, head/contrib/dialog/samples/fselect0 projects/bhyve_svm/contrib/dialog/samples/menubox12 - copied unchanged from r254014, head/contrib/dialog/samples/menubox12 projects/bhyve_svm/contrib/dialog/samples/rangebox - copied unchanged from r254014, head/contrib/dialog/samples/rangebox projects/bhyve_svm/contrib/dialog/samples/rangebox2 - copied unchanged from r254014, head/contrib/dialog/samples/rangebox2 projects/bhyve_svm/contrib/dialog/samples/rangebox3 - copied unchanged from r254014, head/contrib/dialog/samples/rangebox3 projects/bhyve_svm/contrib/dialog/samples/rangebox4 - copied unchanged from r254014, head/contrib/dialog/samples/rangebox4 projects/bhyve_svm/contrib/dialog/samples/treeview - copied unchanged from r254014, head/contrib/dialog/samples/treeview projects/bhyve_svm/contrib/dialog/samples/treeview2 - copied unchanged from r254014, head/contrib/dialog/samples/treeview2 projects/bhyve_svm/contrib/dialog/samples/with-dquotes - copied unchanged from r254014, head/contrib/dialog/samples/with-dquotes projects/bhyve_svm/contrib/dialog/samples/with-squotes - copied unchanged from r254014, head/contrib/dialog/samples/with-squotes projects/bhyve_svm/contrib/dialog/treeview.c - copied unchanged from r254014, head/contrib/dialog/treeview.c projects/bhyve_svm/contrib/flex/ - copied from r254014, head/contrib/flex/ projects/bhyve_svm/contrib/gcc/config/i386/ammintrin.h - copied unchanged from r254014, head/contrib/gcc/config/i386/ammintrin.h projects/bhyve_svm/contrib/libgnuregex/ - copied from r254014, head/contrib/libgnuregex/ projects/bhyve_svm/contrib/llvm/include/llvm/ADT/None.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/ADT/None.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SparseMultiSet.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/ADT/SparseMultiSet.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/CallGraphSCCPass.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Analysis/CallGraphSCCPass.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/CallPrinter.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Analysis/CallPrinter.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/PtrUseVisitor.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Analysis/PtrUseVisitor.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/TargetTransformInfo.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Analysis/TargetTransformInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/DAGCombine.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/CodeGen/DAGCombine.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LiveIntervalUnion.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/CodeGen/LiveIntervalUnion.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LiveRegMatrix.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/CodeGen/LiveRegMatrix.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineTraceMetrics.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/CodeGen/MachineTraceMetrics.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ScheduleDFS.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/CodeGen/ScheduleDFS.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/VirtRegMap.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/CodeGen/VirtRegMap.h projects/bhyve_svm/contrib/llvm/include/llvm/DebugInfo/DWARFFormValue.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/DebugInfo/DWARFFormValue.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/ObjectCache.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/ExecutionEngine/ObjectCache.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/SectionMemoryManager.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/ExecutionEngine/SectionMemoryManager.h projects/bhyve_svm/contrib/llvm/include/llvm/IR/ - copied from r254014, head/contrib/llvm/include/llvm/IR/ projects/bhyve_svm/contrib/llvm/include/llvm/IRReader/ - copied from r254014, head/contrib/llvm/include/llvm/IRReader/ projects/bhyve_svm/contrib/llvm/include/llvm/InstVisitor.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/InstVisitor.h projects/bhyve_svm/contrib/llvm/include/llvm/LinkAllIR.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/LinkAllIR.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCELF.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/MC/MCELF.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCELFStreamer.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/MC/MCELFStreamer.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCInstBuilder.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/MC/MCInstBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm/Option/ - copied from r254014, head/contrib/llvm/include/llvm/Option/ projects/bhyve_svm/contrib/llvm/include/llvm/Support/ArrayRecycler.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Support/ArrayRecycler.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/CBindingWrapping.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Support/CBindingWrapping.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Compression.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Support/Compression.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ConvertUTF.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Support/ConvertUTF.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ErrorOr.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Support/ErrorOr.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Watchdog.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Support/Watchdog.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/YAMLTraits.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Support/YAMLTraits.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/CostTable.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Target/CostTable.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/ObjCARC.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Transforms/ObjCARC.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/BlackList.h - copied unchanged from r254014, head/contrib/llvm/include/llvm/Transforms/Utils/BlackList.h projects/bhyve_svm/contrib/llvm/lib/Analysis/IPA/CallPrinter.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Analysis/IPA/CallPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/IPA/InlineCost.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Analysis/IPA/InlineCost.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/PtrUseVisitor.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Analysis/PtrUseVisitor.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/TargetTransformInfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Analysis/TargetTransformInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Reader/BitstreamReader.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Bitcode/Reader/BitstreamReader.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/ErlangGCPrinter.cpp - copied unchanged from r254014, head/contrib/llvm/lib/CodeGen/AsmPrinter/ErlangGCPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/BasicTargetTransformInfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/CodeGen/BasicTargetTransformInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ErlangGC.cpp - copied unchanged from r254014, head/contrib/llvm/lib/CodeGen/ErlangGC.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetInstrInfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/CodeGen/TargetInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetLoweringBase.cpp - copied unchanged from r254014, head/contrib/llvm/lib/CodeGen/TargetLoweringBase.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetRegisterInfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/CodeGen/TargetRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugFrame.cpp - copied unchanged from r254014, head/contrib/llvm/lib/DebugInfo/DWARFDebugFrame.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugFrame.h - copied unchanged from r254014, head/contrib/llvm/lib/DebugInfo/DWARFDebugFrame.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFRelocMap.h - copied unchanged from r254014, head/contrib/llvm/lib/DebugInfo/DWARFRelocMap.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/MCJIT/SectionMemoryManager.cpp - copied unchanged from r254014, head/contrib/llvm/lib/ExecutionEngine/MCJIT/SectionMemoryManager.cpp projects/bhyve_svm/contrib/llvm/lib/IR/ - copied from r254014, head/contrib/llvm/lib/IR/ projects/bhyve_svm/contrib/llvm/lib/IRReader/ - copied from r254014, head/contrib/llvm/lib/IRReader/ projects/bhyve_svm/contrib/llvm/lib/Option/ - copied from r254014, head/contrib/llvm/lib/Option/ projects/bhyve_svm/contrib/llvm/lib/Support/Compression.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Support/Compression.cpp projects/bhyve_svm/contrib/llvm/lib/Support/ConvertUTF.c - copied unchanged from r254014, head/contrib/llvm/lib/Support/ConvertUTF.c projects/bhyve_svm/contrib/llvm/lib/Support/ConvertUTFWrapper.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Support/ConvertUTFWrapper.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Unix/Watchdog.inc - copied unchanged from r254014, head/contrib/llvm/lib/Support/Unix/Watchdog.inc projects/bhyve_svm/contrib/llvm/lib/Support/Watchdog.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Support/Watchdog.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Windows/Watchdog.inc - copied unchanged from r254014, head/contrib/llvm/lib/Support/Windows/Watchdog.inc projects/bhyve_svm/contrib/llvm/lib/Support/YAMLTraits.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Support/YAMLTraits.cpp projects/bhyve_svm/contrib/llvm/lib/Target/AArch64/ - copied from r254014, head/contrib/llvm/lib/Target/AArch64/ projects/bhyve_svm/contrib/llvm/lib/Target/ARM/A15SDOptimizer.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/A15SDOptimizer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMTargetTransformInfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/ARMTargetTransformInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/LICENSE.TXT - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/LICENSE.TXT projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMELFStreamer.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMELFStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMELFStreamer.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMELFStreamer.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMUnwindOp.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMUnwindOp.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMUnwindOpAsm.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMUnwindOpAsm.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMUnwindOpAsm.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMUnwindOpAsm.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonFixupHwLoops.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Hexagon/HexagonFixupHwLoops.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonOperands.td - copied unchanged from r254014, head/contrib/llvm/lib/Target/Hexagon/HexagonOperands.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/MCTargetDesc/HexagonMCInst.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Hexagon/MCTargetDesc/HexagonMCInst.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/MCTargetDesc/HexagonMCInst.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Hexagon/MCTargetDesc/HexagonMCInst.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsELFStreamer.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsELFStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsELFStreamer.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsELFStreamer.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsReginfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsReginfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsReginfo.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsReginfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MicroMipsInstrFormats.td - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MicroMipsInstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MicroMipsInstrInfo.td - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MicroMipsInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16ISelDAGToDAG.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/Mips16ISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16ISelDAGToDAG.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/Mips16ISelDAGToDAG.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16ISelLowering.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/Mips16ISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16ISelLowering.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/Mips16ISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsConstantIslandPass.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsConstantIslandPass.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsISelDAGToDAG.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsISelDAGToDAG.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsModuleISelDAGToDAG.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsModuleISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsModuleISelDAGToDAG.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsModuleISelDAGToDAG.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsOs16.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsOs16.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsOs16.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsOs16.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEISelDAGToDAG.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsSEISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEISelDAGToDAG.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsSEISelDAGToDAG.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEISelLowering.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsSEISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEISelLowering.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Mips/MipsSEISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXGenericToNVVM.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/NVPTX/NVPTXGenericToNVVM.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVVMReflect.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/NVPTX/NVVMReflect.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/AsmParser/ - copied from r254014, head/contrib/llvm/lib/Target/PowerPC/AsmParser/ projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCTargetTransformInfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/PowerPC/PPCTargetTransformInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/R600/ - copied from r254014, head/contrib/llvm/lib/Target/R600/ projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/MCTargetDesc/SparcBaseInfo.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/Sparc/MCTargetDesc/SparcBaseInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcInstr64Bit.td - copied unchanged from r254014, head/contrib/llvm/lib/Target/Sparc/SparcInstr64Bit.td projects/bhyve_svm/contrib/llvm/lib/Target/SystemZ/ - copied from r254014, head/contrib/llvm/lib/Target/SystemZ/ projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86FixupLEAs.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/X86/X86FixupLEAs.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86PadShortFunction.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/X86/X86PadShortFunction.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86SchedHaswell.td - copied unchanged from r254014, head/contrib/llvm/lib/Target/X86/X86SchedHaswell.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86SchedSandyBridge.td - copied unchanged from r254014, head/contrib/llvm/lib/Target/X86/X86SchedSandyBridge.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86TargetTransformInfo.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/X86/X86TargetTransformInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/Disassembler/ - copied from r254014, head/contrib/llvm/lib/Target/XCore/Disassembler/ projects/bhyve_svm/contrib/llvm/lib/Target/XCore/InstPrinter/ - copied from r254014, head/contrib/llvm/lib/Target/XCore/InstPrinter/ projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreLowerThreadLocal.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/XCore/XCoreLowerThreadLocal.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreMCInstLower.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Target/XCore/XCoreMCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreMCInstLower.h - copied unchanged from r254014, head/contrib/llvm/lib/Target/XCore/XCoreMCInstLower.h projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/MemorySanitizer.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Transforms/Instrumentation/MemorySanitizer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/ObjCARC/ - copied from r254014, head/contrib/llvm/lib/Transforms/ObjCARC/ projects/bhyve_svm/contrib/llvm/lib/Transforms/Vectorize/SLPVectorizer.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Transforms/Vectorize/SLPVectorizer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Vectorize/VecUtils.cpp - copied unchanged from r254014, head/contrib/llvm/lib/Transforms/Vectorize/VecUtils.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Vectorize/VecUtils.h - copied unchanged from r254014, head/contrib/llvm/lib/Transforms/Vectorize/VecUtils.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ASTTypeTraits.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/AST/ASTTypeTraits.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ASTUnresolvedSet.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/AST/ASTUnresolvedSet.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/AttrIterator.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/AST/AttrIterator.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CommentHTMLNamedCharacterReferences.td - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/AST/CommentHTMLNamedCharacterReferences.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclOpenMP.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/AST/DeclOpenMP.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/BuiltinsAArch64.def - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/BuiltinsAArch64.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/CapturedStmt.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/CapturedStmt.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/CharInfo.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/CharInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/CommentOptions.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/CommentOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/OpenMPKinds.def - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/OpenMPKinds.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/OpenMPKinds.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/OpenMPKinds.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/OperatorPrecedence.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/OperatorPrecedence.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/TargetCXXABI.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Basic/TargetCXXABI.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Format/ - copied from r254014, head/contrib/llvm/tools/clang/include/clang/Format/ projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/MacroArgs.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Lex/MacroArgs.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/PPConditionalDirectiveRecord.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Lex/PPConditionalDirectiveRecord.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Serialization/GlobalModuleIndex.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/include/clang/Serialization/GlobalModuleIndex.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransProtectedScope.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/ARCMigrate/TransProtectedScope.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ASTDumper.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/AST/ASTDumper.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclOpenMP.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/AST/DeclOpenMP.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/CharInfo.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Basic/CharInfo.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/OpenMPKinds.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Basic/OpenMPKinds.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/OperatorPrecedence.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Basic/OperatorPrecedence.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGAtomic.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/CodeGen/CGAtomic.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Format/ - copied from r254014, head/contrib/llvm/tools/clang/lib/Format/ projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/prfchwintrin.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Headers/prfchwintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/rdseedintrin.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Headers/rdseedintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/stdnoreturn.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Headers/stdnoreturn.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PPConditionalDirectiveRecord.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Lex/PPConditionalDirectiveRecord.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/UnicodeCharSets.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Lex/UnicodeCharSets.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseOpenMP.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Parse/ParseOpenMP.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaOpenMP.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Sema/SemaOpenMP.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/GlobalModuleIndex.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/Serialization/GlobalModuleIndex.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/AllocationDiagnostics.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/AllocationDiagnostics.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/AllocationDiagnostics.h - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/AllocationDiagnostics.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/NonNullParamChecker.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/NonNullParamChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/ClangCommentHTMLNamedCharacterReferenceEmitter.cpp - copied unchanged from r254014, head/contrib/llvm/tools/clang/utils/TableGen/ClangCommentHTMLNamedCharacterReferenceEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-objdump/COFFDump.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-objdump/COFFDump.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-objdump/ELFDump.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-objdump/ELFDump.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/COFFDumper.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/COFFDumper.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/ELFDumper.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/ELFDumper.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/Error.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/Error.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/Error.h - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/Error.h projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/MachODumper.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/MachODumper.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/ObjDumper.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/ObjDumper.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/ObjDumper.h - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/ObjDumper.h projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/StreamWriter.cpp - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/StreamWriter.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/StreamWriter.h - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/StreamWriter.h projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/llvm-readobj.h - copied unchanged from r254014, head/contrib/llvm/tools/llvm-readobj/llvm-readobj.h projects/bhyve_svm/contrib/llvm/tools/llvm-symbolizer/ - copied from r254014, head/contrib/llvm/tools/llvm-symbolizer/ projects/bhyve_svm/contrib/llvm/utils/TableGen/CTagsEmitter.cpp - copied unchanged from r254014, head/contrib/llvm/utils/TableGen/CTagsEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/OptParserEmitter.cpp - copied unchanged from r254014, head/contrib/llvm/utils/TableGen/OptParserEmitter.cpp projects/bhyve_svm/contrib/mtree/only.c - copied unchanged from r254014, head/contrib/mtree/only.c projects/bhyve_svm/contrib/sendmail/libsm/t-fget.c - copied unchanged from r254014, head/contrib/sendmail/libsm/t-fget.c - copied from r254014, head/contrib/serf/ projects/bhyve_svm/contrib/sqlite3/ - copied from r254014, head/contrib/sqlite3/ - copied from r254014, head/contrib/subversion/ projects/bhyve_svm/contrib/tcpdump/print-msnlb.c - copied unchanged from r254014, head/contrib/tcpdump/print-msnlb.c projects/bhyve_svm/contrib/tcpdump/print-otv.c - copied unchanged from r254014, head/contrib/tcpdump/print-otv.c projects/bhyve_svm/contrib/tcpdump/print-vxlan.c - copied unchanged from r254014, head/contrib/tcpdump/print-vxlan.c projects/bhyve_svm/contrib/tcpdump/print-zeromq.c - copied unchanged from r254014, head/contrib/tcpdump/print-zeromq.c - copied from r254014, head/contrib/unbound/ projects/bhyve_svm/contrib/wpa/hostapd/hlr_auc_gw.txt - copied unchanged from r254014, head/contrib/wpa/hostapd/hlr_auc_gw.txt projects/bhyve_svm/contrib/wpa/hostapd/hostapd.eap_user_sqlite - copied unchanged from r254014, head/contrib/wpa/hostapd/hostapd.eap_user_sqlite projects/bhyve_svm/contrib/wpa/patches/openssl-0.9.8x-tls-extensions.patch - copied unchanged from r254014, head/contrib/wpa/patches/openssl-0.9.8x-tls-extensions.patch projects/bhyve_svm/contrib/wpa/src/ap/eap_user_db.c - copied unchanged from r254014, head/contrib/wpa/src/ap/eap_user_db.c projects/bhyve_svm/contrib/wpa/src/ap/gas_serv.c - copied unchanged from r254014, head/contrib/wpa/src/ap/gas_serv.c projects/bhyve_svm/contrib/wpa/src/ap/gas_serv.h - copied unchanged from r254014, head/contrib/wpa/src/ap/gas_serv.h projects/bhyve_svm/contrib/wpa/src/ap/hs20.c - copied unchanged from r254014, head/contrib/wpa/src/ap/hs20.c projects/bhyve_svm/contrib/wpa/src/ap/hs20.h - copied unchanged from r254014, head/contrib/wpa/src/ap/hs20.h projects/bhyve_svm/contrib/wpa/src/ap/ieee802_11_shared.c - copied unchanged from r254014, head/contrib/wpa/src/ap/ieee802_11_shared.c projects/bhyve_svm/contrib/wpa/src/ap/ieee802_11_vht.c - copied unchanged from r254014, head/contrib/wpa/src/ap/ieee802_11_vht.c projects/bhyve_svm/contrib/wpa/src/ap/p2p_hostapd.c - copied unchanged from r254014, head/contrib/wpa/src/ap/p2p_hostapd.c projects/bhyve_svm/contrib/wpa/src/ap/p2p_hostapd.h - copied unchanged from r254014, head/contrib/wpa/src/ap/p2p_hostapd.h projects/bhyve_svm/contrib/wpa/src/ap/vlan_util.c - copied unchanged from r254014, head/contrib/wpa/src/ap/vlan_util.c projects/bhyve_svm/contrib/wpa/src/ap/vlan_util.h - copied unchanged from r254014, head/contrib/wpa/src/ap/vlan_util.h projects/bhyve_svm/contrib/wpa/src/ap/wnm_ap.c - copied unchanged from r254014, head/contrib/wpa/src/ap/wnm_ap.c projects/bhyve_svm/contrib/wpa/src/ap/wnm_ap.h - copied unchanged from r254014, head/contrib/wpa/src/ap/wnm_ap.h projects/bhyve_svm/contrib/wpa/src/common/gas.c - copied unchanged from r254014, head/contrib/wpa/src/common/gas.c projects/bhyve_svm/contrib/wpa/src/common/gas.h - copied unchanged from r254014, head/contrib/wpa/src/common/gas.h projects/bhyve_svm/contrib/wpa/src/crypto/aes-ccm.c - copied unchanged from r254014, head/contrib/wpa/src/crypto/aes-ccm.c projects/bhyve_svm/contrib/wpa/src/crypto/aes-gcm.c - copied unchanged from r254014, head/contrib/wpa/src/crypto/aes-gcm.c projects/bhyve_svm/contrib/wpa/src/crypto/random.c - copied unchanged from r254014, head/contrib/wpa/src/crypto/random.c projects/bhyve_svm/contrib/wpa/src/crypto/random.h - copied unchanged from r254014, head/contrib/wpa/src/crypto/random.h projects/bhyve_svm/contrib/wpa/src/crypto/sha1-prf.c - copied unchanged from r254014, head/contrib/wpa/src/crypto/sha1-prf.c projects/bhyve_svm/contrib/wpa/src/crypto/sha256-prf.c - copied unchanged from r254014, head/contrib/wpa/src/crypto/sha256-prf.c projects/bhyve_svm/contrib/wpa/src/crypto/sha256-tlsprf.c - copied unchanged from r254014, head/contrib/wpa/src/crypto/sha256-tlsprf.c projects/bhyve_svm/contrib/wpa/src/crypto/sha256_i.h - copied unchanged from r254014, head/contrib/wpa/src/crypto/sha256_i.h projects/bhyve_svm/contrib/wpa/src/drivers/driver_bsd.c - copied unchanged from r254014, head/contrib/wpa/src/drivers/driver_bsd.c projects/bhyve_svm/contrib/wpa/src/drivers/driver_common.c - copied unchanged from r254014, head/contrib/wpa/src/drivers/driver_common.c projects/bhyve_svm/contrib/wpa/src/drivers/driver_privsep.c - copied unchanged from r254014, head/contrib/wpa/src/drivers/driver_privsep.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_pwd_common.c - copied unchanged from r254014, head/contrib/wpa/src/eap_common/eap_pwd_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_pwd_common.h - copied unchanged from r254014, head/contrib/wpa/src/eap_common/eap_pwd_common.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_pwd.c - copied unchanged from r254014, head/contrib/wpa/src/eap_peer/eap_pwd.c projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_pwd.c - copied unchanged from r254014, head/contrib/wpa/src/eap_server/eap_server_pwd.c projects/bhyve_svm/contrib/wpa/src/l2_packet/l2_packet_privsep.c - copied unchanged from r254014, head/contrib/wpa/src/l2_packet/l2_packet_privsep.c projects/bhyve_svm/contrib/wpa/src/p2p/ - copied from r254014, head/contrib/wpa/src/p2p/ projects/bhyve_svm/contrib/wpa/src/radius/radius_das.c - copied unchanged from r254014, head/contrib/wpa/src/radius/radius_das.c projects/bhyve_svm/contrib/wpa/src/radius/radius_das.h - copied unchanged from r254014, head/contrib/wpa/src/radius/radius_das.h projects/bhyve_svm/contrib/wpa/src/rsn_supp/tdls.c - copied unchanged from r254014, head/contrib/wpa/src/rsn_supp/tdls.c projects/bhyve_svm/contrib/wpa/src/utils/edit.c - copied unchanged from r254014, head/contrib/wpa/src/utils/edit.c projects/bhyve_svm/contrib/wpa/src/utils/edit.h - copied unchanged from r254014, head/contrib/wpa/src/utils/edit.h projects/bhyve_svm/contrib/wpa/src/utils/edit_readline.c - copied unchanged from r254014, head/contrib/wpa/src/utils/edit_readline.c projects/bhyve_svm/contrib/wpa/src/utils/edit_simple.c - copied unchanged from r254014, head/contrib/wpa/src/utils/edit_simple.c projects/bhyve_svm/contrib/wpa/src/utils/ext_password.c - copied unchanged from r254014, head/contrib/wpa/src/utils/ext_password.c projects/bhyve_svm/contrib/wpa/src/utils/ext_password.h - copied unchanged from r254014, head/contrib/wpa/src/utils/ext_password.h projects/bhyve_svm/contrib/wpa/src/utils/ext_password_i.h - copied unchanged from r254014, head/contrib/wpa/src/utils/ext_password_i.h projects/bhyve_svm/contrib/wpa/src/utils/ext_password_test.c - copied unchanged from r254014, head/contrib/wpa/src/utils/ext_password_test.c projects/bhyve_svm/contrib/wpa/src/wps/wps_attr_parse.h - copied unchanged from r254014, head/contrib/wpa/src/wps/wps_attr_parse.h projects/bhyve_svm/contrib/wpa/src/wps/wps_validate.c - copied unchanged from r254014, head/contrib/wpa/src/wps/wps_validate.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/README-HS20 - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/README-HS20 projects/bhyve_svm/contrib/wpa/wpa_supplicant/README-P2P - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/README-P2P projects/bhyve_svm/contrib/wpa/wpa_supplicant/autoscan.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/autoscan.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/autoscan.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/autoscan.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/autoscan_exponential.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/autoscan_exponential.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/autoscan_periodic.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/autoscan_periodic.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/bgscan_learn.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/bgscan_learn.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_p2p.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service.in - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service.in projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service.in - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service.in projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/dbus-listen-preq.py - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/examples/dbus-listen-preq.py projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/p2p/ - copied from r254014, head/contrib/wpa/wpa_supplicant/examples/p2p/ projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/p2p-action-udhcp.sh - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/examples/p2p-action-udhcp.sh projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/p2p-action.sh - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/examples/p2p-action.sh projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/udhcpd-p2p.conf - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/examples/udhcpd-p2p.conf projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/wps-ap-cli - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/examples/wps-ap-cli projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/wps-nfc.py - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/examples/wps-nfc.py projects/bhyve_svm/contrib/wpa/wpa_supplicant/gas_query.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/gas_query.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/gas_query.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/gas_query.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/hs20_supplicant.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/hs20_supplicant.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/hs20_supplicant.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/hs20_supplicant.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/interworking.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/interworking.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/interworking.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/interworking.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/nfc_pw_token.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/nfc_pw_token.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/offchannel.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/offchannel.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/offchannel.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/offchannel.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/p2p_supplicant.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/p2p_supplicant.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/p2p_supplicant.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/p2p_supplicant.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/utils/ - copied from r254014, head/contrib/wpa/wpa_supplicant/utils/ projects/bhyve_svm/contrib/wpa/wpa_supplicant/wifi_display.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/wifi_display.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wifi_display.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/wifi_display.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/wnm_sta.c - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/wnm_sta.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wnm_sta.h - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/wnm_sta.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.mk - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.mk projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.sh - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/wpa_supplicant_conf.sh projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_supplicant_template.conf - copied unchanged from r254014, head/contrib/wpa/wpa_supplicant/wpa_supplicant_template.conf projects/bhyve_svm/etc/libmap.conf - copied unchanged from r254014, head/etc/libmap.conf projects/bhyve_svm/etc/mtree/BSD.debug.dist - copied unchanged from r254014, head/etc/mtree/BSD.debug.dist projects/bhyve_svm/etc/periodic/daily/401.status-graid - copied unchanged from r254014, head/etc/periodic/daily/401.status-graid projects/bhyve_svm/etc/rc.d/swap - copied unchanged from r254014, head/etc/rc.d/swap projects/bhyve_svm/etc/rc.d/swaplate - copied unchanged from r254014, head/etc/rc.d/swaplate projects/bhyve_svm/include/uchar.h - copied unchanged from r254014, head/include/uchar.h projects/bhyve_svm/include/xlocale/_uchar.h - copied unchanged from r254014, head/include/xlocale/_uchar.h projects/bhyve_svm/lib/clang/include/clang/AST/AttrDump.inc - copied unchanged from r254014, head/lib/clang/include/clang/AST/AttrDump.inc projects/bhyve_svm/lib/clang/include/clang/AST/CommentCommandList.inc - copied unchanged from r254014, head/lib/clang/include/clang/AST/CommentCommandList.inc projects/bhyve_svm/lib/clang/include/clang/AST/CommentHTMLNamedCharacterReferences.inc - copied unchanged from r254014, head/lib/clang/include/clang/AST/CommentHTMLNamedCharacterReferences.inc projects/bhyve_svm/lib/clang/include/clang/Parse/AttrExprArgs.inc - copied unchanged from r254014, head/lib/clang/include/clang/Parse/AttrExprArgs.inc projects/bhyve_svm/lib/clang/include/clang/Sema/AttrSpellingListIndex.inc - copied unchanged from r254014, head/lib/clang/include/clang/Sema/AttrSpellingListIndex.inc projects/bhyve_svm/lib/clang/include/llvm/IR/ - copied from r254014, head/lib/clang/include/llvm/IR/ projects/bhyve_svm/lib/clang/libllvmirreader/ - copied from r254014, head/lib/clang/libllvmirreader/ projects/bhyve_svm/lib/clang/libllvmobjcarcopts/ - copied from r254014, head/lib/clang/libllvmobjcarcopts/ projects/bhyve_svm/lib/csu/Makefile - copied unchanged from r254014, head/lib/csu/Makefile projects/bhyve_svm/lib/libc++/libc++.ldscript - copied unchanged from r254014, head/lib/libc++/libc++.ldscript projects/bhyve_svm/lib/libc/arm/gen/arm_initfini.c - copied unchanged from r254014, head/lib/libc/arm/gen/arm_initfini.c projects/bhyve_svm/lib/libc/libc.ldscript - copied unchanged from r254014, head/lib/libc/libc.ldscript projects/bhyve_svm/lib/libc/locale/c16rtomb.c - copied unchanged from r254014, head/lib/libc/locale/c16rtomb.c projects/bhyve_svm/lib/libc/locale/c16rtomb_iconv.c - copied unchanged from r254014, head/lib/libc/locale/c16rtomb_iconv.c projects/bhyve_svm/lib/libc/locale/c32rtomb.c - copied unchanged from r254014, head/lib/libc/locale/c32rtomb.c projects/bhyve_svm/lib/libc/locale/c32rtomb_iconv.c - copied unchanged from r254014, head/lib/libc/locale/c32rtomb_iconv.c projects/bhyve_svm/lib/libc/locale/cXXrtomb_iconv.h - copied unchanged from r254014, head/lib/libc/locale/cXXrtomb_iconv.h projects/bhyve_svm/lib/libc/locale/mbrtoc16.c - copied unchanged from r254014, head/lib/libc/locale/mbrtoc16.c projects/bhyve_svm/lib/libc/locale/mbrtoc16_iconv.c - copied unchanged from r254014, head/lib/libc/locale/mbrtoc16_iconv.c projects/bhyve_svm/lib/libc/locale/mbrtoc32.c - copied unchanged from r254014, head/lib/libc/locale/mbrtoc32.c projects/bhyve_svm/lib/libc/locale/mbrtoc32_iconv.c - copied unchanged from r254014, head/lib/libc/locale/mbrtoc32_iconv.c projects/bhyve_svm/lib/libc/locale/mbrtocXX_iconv.h - copied unchanged from r254014, head/lib/libc/locale/mbrtocXX_iconv.h projects/bhyve_svm/lib/libc/sys/aio_mlock.2 - copied unchanged from r254014, head/lib/libc/sys/aio_mlock.2 projects/bhyve_svm/lib/libprocstat/core.c - copied unchanged from r254014, head/lib/libprocstat/core.c projects/bhyve_svm/lib/libprocstat/core.h - copied unchanged from r254014, head/lib/libprocstat/core.h projects/bhyve_svm/lib/libusb/libusb-0.1.pc - copied unchanged from r254014, head/lib/libusb/libusb-0.1.pc projects/bhyve_svm/lib/libusb/libusb-1.0.pc - copied unchanged from r254014, head/lib/libusb/libusb-1.0.pc projects/bhyve_svm/lib/libusb/libusb-2.0.pc - copied unchanged from r254014, head/lib/libusb/libusb-2.0.pc projects/bhyve_svm/lib/msun/ld128/s_logl.c - copied unchanged from r254014, head/lib/msun/ld128/s_logl.c projects/bhyve_svm/lib/msun/ld80/s_logl.c - copied unchanged from r254014, head/lib/msun/ld80/s_logl.c projects/bhyve_svm/lib/msun/man/cacos.3 - copied unchanged from r254014, head/lib/msun/man/cacos.3 projects/bhyve_svm/lib/msun/src/catrig.c - copied unchanged from r254014, head/lib/msun/src/catrig.c projects/bhyve_svm/lib/msun/src/catrigf.c - copied unchanged from r254014, head/lib/msun/src/catrigf.c projects/bhyve_svm/lib/msun/src/e_acoshl.c - copied unchanged from r254014, head/lib/msun/src/e_acoshl.c projects/bhyve_svm/lib/msun/src/e_atanhl.c - copied unchanged from r254014, head/lib/msun/src/e_atanhl.c projects/bhyve_svm/lib/msun/src/s_asinhl.c - copied unchanged from r254014, head/lib/msun/src/s_asinhl.c projects/bhyve_svm/lib/msun/x86/ - copied from r254014, head/lib/msun/x86/ projects/bhyve_svm/release/doc/ja_JP.eucJP/share/xml/catalog.xml - copied unchanged from r254014, head/release/doc/ja_JP.eucJP/share/xml/catalog.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/share/xml/dev-auto-ja.ent - copied unchanged from r254014, head/release/doc/ja_JP.eucJP/share/xml/dev-auto-ja.ent projects/bhyve_svm/release/doc/ru_RU.KOI8-R/share/xml/catalog.xml - copied unchanged from r254014, head/release/doc/ru_RU.KOI8-R/share/xml/catalog.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/share/xml/dev-auto-ru.ent - copied unchanged from r254014, head/release/doc/ru_RU.KOI8-R/share/xml/dev-auto-ru.ent projects/bhyve_svm/release/doc/share/xml/catalog.xml - copied unchanged from r254014, head/release/doc/share/xml/catalog.xml projects/bhyve_svm/release/picobsd/floppy.tree/etc/ssh/ - copied from r254014, head/release/picobsd/floppy.tree/etc/ssh/ projects/bhyve_svm/release/release.conf.sample - copied unchanged from r254014, head/release/release.conf.sample projects/bhyve_svm/release/release.sh - copied unchanged from r254014, head/release/release.sh projects/bhyve_svm/sbin/mount/mount.conf.8 - copied unchanged from r254014, head/sbin/mount/mount.conf.8 projects/bhyve_svm/sbin/nvmecontrol/devlist.c - copied unchanged from r254014, head/sbin/nvmecontrol/devlist.c projects/bhyve_svm/sbin/nvmecontrol/firmware.c - copied unchanged from r254014, head/sbin/nvmecontrol/firmware.c projects/bhyve_svm/sbin/nvmecontrol/identify.c - copied unchanged from r254014, head/sbin/nvmecontrol/identify.c projects/bhyve_svm/sbin/nvmecontrol/logpage.c - copied unchanged from r254014, head/sbin/nvmecontrol/logpage.c projects/bhyve_svm/sbin/nvmecontrol/nvmecontrol.h - copied unchanged from r254014, head/sbin/nvmecontrol/nvmecontrol.h projects/bhyve_svm/sbin/nvmecontrol/perftest.c - copied unchanged from r254014, head/sbin/nvmecontrol/perftest.c projects/bhyve_svm/sbin/nvmecontrol/reset.c - copied unchanged from r254014, head/sbin/nvmecontrol/reset.c projects/bhyve_svm/share/doc/legal/realtek/ - copied from r254014, head/share/doc/legal/realtek/ projects/bhyve_svm/share/examples/BSD_daemon/beastie2.eps - copied unchanged from r254014, head/share/examples/BSD_daemon/beastie2.eps projects/bhyve_svm/share/man/man4/aacraid.4 - copied unchanged from r254014, head/share/man/man4/aacraid.4 projects/bhyve_svm/share/man/man4/acpi_rapidstart.4 - copied unchanged from r254014, head/share/man/man4/acpi_rapidstart.4 projects/bhyve_svm/share/man/man4/cc_cdg.4 - copied unchanged from r254014, head/share/man/man4/cc_cdg.4 projects/bhyve_svm/share/man/man4/ctl.4 - copied unchanged from r254014, head/share/man/man4/ctl.4 projects/bhyve_svm/share/man/man4/hptnr.4 - copied unchanged from r254014, head/share/man/man4/hptnr.4 projects/bhyve_svm/share/man/man4/man4.arm/devcfg.4 - copied unchanged from r254014, head/share/man/man4/man4.arm/devcfg.4 projects/bhyve_svm/share/man/man4/ntb.4 - copied unchanged from r254014, head/share/man/man4/ntb.4 projects/bhyve_svm/share/man/man4/qlxgbe.4 - copied unchanged from r254014, head/share/man/man4/qlxgbe.4 projects/bhyve_svm/share/man/man4/qlxge.4 - copied unchanged from r254014, head/share/man/man4/qlxge.4 projects/bhyve_svm/share/man/man4/rsu.4 - copied unchanged from r254014, head/share/man/man4/rsu.4 projects/bhyve_svm/share/man/man4/rsufw.4 - copied unchanged from r254014, head/share/man/man4/rsufw.4 projects/bhyve_svm/share/man/man4/urtwn.4 - copied unchanged from r254014, head/share/man/man4/urtwn.4 projects/bhyve_svm/share/man/man9/SDT.9 - copied unchanged from r254014, head/share/man/man9/SDT.9 projects/bhyve_svm/share/man/man9/counter.9 - copied unchanged from r254014, head/share/man/man9/counter.9 projects/bhyve_svm/share/man/man9/vmem.9 - copied unchanged from r254014, head/share/man/man9/vmem.9 projects/bhyve_svm/share/monetdef/ca_ES.UTF-8.src - copied unchanged from r254014, head/share/monetdef/ca_ES.UTF-8.src projects/bhyve_svm/share/monetdef/de_AT.UTF-8.src - copied unchanged from r254014, head/share/monetdef/de_AT.UTF-8.src projects/bhyve_svm/share/monetdef/de_DE.UTF-8.src - copied unchanged from r254014, head/share/monetdef/de_DE.UTF-8.src projects/bhyve_svm/share/monetdef/el_GR.UTF-8.src - copied unchanged from r254014, head/share/monetdef/el_GR.UTF-8.src projects/bhyve_svm/share/monetdef/es_ES.UTF-8.src - copied unchanged from r254014, head/share/monetdef/es_ES.UTF-8.src projects/bhyve_svm/share/monetdef/fi_FI.UTF-8.src - copied unchanged from r254014, head/share/monetdef/fi_FI.UTF-8.src projects/bhyve_svm/share/monetdef/fr_BE.UTF-8.src - copied unchanged from r254014, head/share/monetdef/fr_BE.UTF-8.src projects/bhyve_svm/share/monetdef/fr_FR.UTF-8.src - copied unchanged from r254014, head/share/monetdef/fr_FR.UTF-8.src projects/bhyve_svm/share/monetdef/it_IT.UTF-8.src - copied unchanged from r254014, head/share/monetdef/it_IT.UTF-8.src projects/bhyve_svm/share/monetdef/nl_BE.UTF-8.src - copied unchanged from r254014, head/share/monetdef/nl_BE.UTF-8.src projects/bhyve_svm/share/monetdef/nl_NL.UTF-8.src - copied unchanged from r254014, head/share/monetdef/nl_NL.UTF-8.src projects/bhyve_svm/sys/amd64/include/counter.h - copied unchanged from r254014, head/sys/amd64/include/counter.h projects/bhyve_svm/sys/amd64/include/fdt.h - copied unchanged from r254014, head/sys/amd64/include/fdt.h projects/bhyve_svm/sys/amd64/include/ofw_machdep.h - copied unchanged from r254014, head/sys/amd64/include/ofw_machdep.h projects/bhyve_svm/sys/arm/arm/generic_timer.c - copied unchanged from r254014, head/sys/arm/arm/generic_timer.c projects/bhyve_svm/sys/arm/arm/stdatomic.c - copied unchanged from r254014, head/sys/arm/arm/stdatomic.c projects/bhyve_svm/sys/arm/broadcom/bcm2835/std.bcm2835 - copied unchanged from r254014, head/sys/arm/broadcom/bcm2835/std.bcm2835 projects/bhyve_svm/sys/arm/broadcom/bcm2835/std.rpi - copied unchanged from r254014, head/sys/arm/broadcom/bcm2835/std.rpi projects/bhyve_svm/sys/arm/conf/ARNDALE - copied unchanged from r254014, head/sys/arm/conf/ARNDALE projects/bhyve_svm/sys/arm/conf/ZEDBOARD - copied unchanged from r254014, head/sys/arm/conf/ZEDBOARD projects/bhyve_svm/sys/arm/freescale/imx/files.imx51 - copied unchanged from r254014, head/sys/arm/freescale/imx/files.imx51 projects/bhyve_svm/sys/arm/freescale/imx/std.imx51 - copied unchanged from r254014, head/sys/arm/freescale/imx/std.imx51 projects/bhyve_svm/sys/arm/include/counter.h - copied unchanged from r254014, head/sys/arm/include/counter.h projects/bhyve_svm/sys/arm/samsung/ - copied from r254014, head/sys/arm/samsung/ projects/bhyve_svm/sys/arm/ti/am335x/am335x_lcd.c - copied unchanged from r254014, head/sys/arm/ti/am335x/am335x_lcd.c projects/bhyve_svm/sys/arm/ti/am335x/am335x_lcd.h - copied unchanged from r254014, head/sys/arm/ti/am335x/am335x_lcd.h projects/bhyve_svm/sys/arm/ti/am335x/am335x_lcd_syscons.c - copied unchanged from r254014, head/sys/arm/ti/am335x/am335x_lcd_syscons.c projects/bhyve_svm/sys/arm/ti/am335x/am335x_pwm.c - copied unchanged from r254014, head/sys/arm/ti/am335x/am335x_pwm.c projects/bhyve_svm/sys/arm/ti/am335x/am335x_pwm.h - copied unchanged from r254014, head/sys/arm/ti/am335x/am335x_pwm.h projects/bhyve_svm/sys/arm/ti/am335x/am335x_scm.h - copied unchanged from r254014, head/sys/arm/ti/am335x/am335x_scm.h projects/bhyve_svm/sys/arm/ti/am335x/am335x_usbss.c - copied unchanged from r254014, head/sys/arm/ti/am335x/am335x_usbss.c projects/bhyve_svm/sys/arm/xilinx/ - copied from r254014, head/sys/arm/xilinx/ projects/bhyve_svm/sys/boot/fdt/dts/am335x-evm.dts - copied unchanged from r254014, head/sys/boot/fdt/dts/am335x-evm.dts projects/bhyve_svm/sys/boot/fdt/dts/am335x.dtsi - copied unchanged from r254014, head/sys/boot/fdt/dts/am335x.dtsi projects/bhyve_svm/sys/boot/fdt/dts/bcm2835.dtsi - copied unchanged from r254014, head/sys/boot/fdt/dts/bcm2835.dtsi projects/bhyve_svm/sys/boot/fdt/dts/beaglebone-black.dts - copied unchanged from r254014, head/sys/boot/fdt/dts/beaglebone-black.dts projects/bhyve_svm/sys/boot/fdt/dts/exynos5250-arndale.dts - copied unchanged from r254014, head/sys/boot/fdt/dts/exynos5250-arndale.dts projects/bhyve_svm/sys/boot/fdt/dts/exynos5250.dtsi - copied unchanged from r254014, head/sys/boot/fdt/dts/exynos5250.dtsi projects/bhyve_svm/sys/boot/fdt/dts/rpi.dts - copied unchanged from r254014, head/sys/boot/fdt/dts/rpi.dts projects/bhyve_svm/sys/boot/fdt/dts/zedboard.dts - copied unchanged from r254014, head/sys/boot/fdt/dts/zedboard.dts projects/bhyve_svm/sys/boot/i386/gptboot/gptboot.8 - copied unchanged from r254014, head/sys/boot/i386/gptboot/gptboot.8 projects/bhyve_svm/sys/cam/cam_compat.c - copied unchanged from r254014, head/sys/cam/cam_compat.c projects/bhyve_svm/sys/cam/cam_compat.h - copied unchanged from r254014, head/sys/cam/cam_compat.h projects/bhyve_svm/sys/cddl/compat/opensolaris/sys/debug_compat.h - copied unchanged from r254014, head/sys/cddl/compat/opensolaris/sys/debug_compat.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asllistsup.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/compiler/asllistsup.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asloffset.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/compiler/asloffset.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asloptions.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/compiler/asloptions.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsarguments.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/components/namespace/nsarguments.c projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/tbprint.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/components/tables/tbprint.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utbuffer.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/components/utilities/utbuffer.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/uterror.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/components/utilities/uterror.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utpredef.c - copied unchanged from r254014, head/sys/contrib/dev/acpica/components/utilities/utpredef.c projects/bhyve_svm/sys/contrib/dev/ath/ - copied from r254014, head/sys/contrib/dev/ath/ projects/bhyve_svm/sys/contrib/dev/rsu/ - copied from r254014, head/sys/contrib/dev/rsu/ projects/bhyve_svm/sys/contrib/dev/urtwn/ - copied from r254014, head/sys/contrib/dev/urtwn/ projects/bhyve_svm/sys/contrib/v4l/ - copied from r254014, head/sys/contrib/v4l/ projects/bhyve_svm/sys/crypto/siphash/ - copied from r254014, head/sys/crypto/siphash/ projects/bhyve_svm/sys/dev/aacraid/ - copied from r254014, head/sys/dev/aacraid/ projects/bhyve_svm/sys/dev/acpi_support/acpi_rapidstart.c - copied unchanged from r254014, head/sys/dev/acpi_support/acpi_rapidstart.c projects/bhyve_svm/sys/dev/ath/if_ath_btcoex.c - copied unchanged from r254014, head/sys/dev/ath/if_ath_btcoex.c projects/bhyve_svm/sys/dev/ath/if_ath_btcoex.h - copied unchanged from r254014, head/sys/dev/ath/if_ath_btcoex.h projects/bhyve_svm/sys/dev/ath/if_ath_lna_div.c - copied unchanged from r254014, head/sys/dev/ath/if_ath_lna_div.c projects/bhyve_svm/sys/dev/ath/if_ath_lna_div.h - copied unchanged from r254014, head/sys/dev/ath/if_ath_lna_div.h projects/bhyve_svm/sys/dev/cadence/ - copied from r254014, head/sys/dev/cadence/ projects/bhyve_svm/sys/dev/cfi/cfi_bus_nexus.c - copied unchanged from r254014, head/sys/dev/cfi/cfi_bus_nexus.c projects/bhyve_svm/sys/dev/cxgbe/firmware/t4fw-1.8.11.0.bin.uu - copied unchanged from r254014, head/sys/dev/cxgbe/firmware/t4fw-1.8.11.0.bin.uu projects/bhyve_svm/sys/dev/cxgbe/firmware/t5fw-1.8.22.0.bin.uu - copied unchanged from r254014, head/sys/dev/cxgbe/firmware/t5fw-1.8.22.0.bin.uu projects/bhyve_svm/sys/dev/cxgbe/firmware/t5fw_cfg.txt - copied unchanged from r254014, head/sys/dev/cxgbe/firmware/t5fw_cfg.txt projects/bhyve_svm/sys/dev/cxgbe/firmware/t5fw_cfg_fpga.txt - copied unchanged from r254014, head/sys/dev/cxgbe/firmware/t5fw_cfg_fpga.txt projects/bhyve_svm/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt - copied unchanged from r254014, head/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt projects/bhyve_svm/sys/dev/cxgbe/t4_tracer.c - copied unchanged from r254014, head/sys/dev/cxgbe/t4_tracer.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_vlans.c - copied unchanged from r254014, head/sys/dev/etherswitch/arswitch/arswitch_vlans.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_vlans.h - copied unchanged from r254014, head/sys/dev/etherswitch/arswitch/arswitch_vlans.h projects/bhyve_svm/sys/dev/etherswitch/ip17x/ - copied from r254014, head/sys/dev/etherswitch/ip17x/ projects/bhyve_svm/sys/dev/etherswitch/ukswitch/ - copied from r254014, head/sys/dev/etherswitch/ukswitch/ projects/bhyve_svm/sys/dev/fdt/fdt_x86.c - copied unchanged from r254014, head/sys/dev/fdt/fdt_x86.c projects/bhyve_svm/sys/dev/hpt27xx/hpt27xx_os_bsd.c - copied unchanged from r254014, head/sys/dev/hpt27xx/hpt27xx_os_bsd.c projects/bhyve_svm/sys/dev/hpt27xx/hpt27xx_osm_bsd.c - copied unchanged from r254014, head/sys/dev/hpt27xx/hpt27xx_osm_bsd.c projects/bhyve_svm/sys/dev/hptnr/ - copied from r254014, head/sys/dev/hptnr/ projects/bhyve_svm/sys/dev/iwn/if_iwn_devid.h - copied unchanged from r254014, head/sys/dev/iwn/if_iwn_devid.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_dcb.c - copied unchanged from r254014, head/sys/dev/ixgbe/ixgbe_dcb.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_dcb.h - copied unchanged from r254014, head/sys/dev/ixgbe/ixgbe_dcb.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_dcb_82598.c - copied unchanged from r254014, head/sys/dev/ixgbe/ixgbe_dcb_82598.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_dcb_82598.h - copied unchanged from r254014, head/sys/dev/ixgbe/ixgbe_dcb_82598.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_dcb_82599.c - copied unchanged from r254014, head/sys/dev/ixgbe/ixgbe_dcb_82599.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_dcb_82599.h - copied unchanged from r254014, head/sys/dev/ixgbe/ixgbe_dcb_82599.h projects/bhyve_svm/sys/dev/mbox/ - copied from r254014, head/sys/dev/mbox/ projects/bhyve_svm/sys/dev/ntb/ - copied from r254014, head/sys/dev/ntb/ projects/bhyve_svm/sys/dev/nvme/nvme_util.c - copied unchanged from r254014, head/sys/dev/nvme/nvme_util.c projects/bhyve_svm/sys/dev/qlxgbe/ - copied from r254014, head/sys/dev/qlxgbe/ projects/bhyve_svm/sys/dev/qlxge/ - copied from r254014, head/sys/dev/qlxge/ projects/bhyve_svm/sys/dev/sdhci/sdhci_fdt.c - copied unchanged from r254014, head/sys/dev/sdhci/sdhci_fdt.c projects/bhyve_svm/sys/dev/uart/uart_cpu_fdt.c - copied unchanged from r254014, head/sys/dev/uart/uart_cpu_fdt.c projects/bhyve_svm/sys/dev/usb/gadget/ - copied from r254014, head/sys/dev/usb/gadget/ projects/bhyve_svm/sys/dev/usb/wlan/if_rsu.c - copied unchanged from r254014, head/sys/dev/usb/wlan/if_rsu.c projects/bhyve_svm/sys/dev/usb/wlan/if_rsureg.h - copied unchanged from r254014, head/sys/dev/usb/wlan/if_rsureg.h projects/bhyve_svm/sys/dev/usb/wlan/if_urtwn.c - copied unchanged from r254014, head/sys/dev/usb/wlan/if_urtwn.c projects/bhyve_svm/sys/dev/usb/wlan/if_urtwnreg.h - copied unchanged from r254014, head/sys/dev/usb/wlan/if_urtwnreg.h projects/bhyve_svm/sys/dev/wi/if_wi_macio.c - copied unchanged from r254014, head/sys/dev/wi/if_wi_macio.c projects/bhyve_svm/sys/fs/ext2fs/ext2_hash.c - copied unchanged from r254014, head/sys/fs/ext2fs/ext2_hash.c projects/bhyve_svm/sys/fs/ext2fs/ext2_htree.c - copied unchanged from r254014, head/sys/fs/ext2fs/ext2_htree.c projects/bhyve_svm/sys/fs/ext2fs/htree.h - copied unchanged from r254014, head/sys/fs/ext2fs/htree.h projects/bhyve_svm/sys/fs/nfsserver/nfs_fha_new.c - copied unchanged from r254014, head/sys/fs/nfsserver/nfs_fha_new.c projects/bhyve_svm/sys/fs/nfsserver/nfs_fha_new.h - copied unchanged from r254014, head/sys/fs/nfsserver/nfs_fha_new.h projects/bhyve_svm/sys/geom/label/g_label_disk_ident.c - copied unchanged from r254014, head/sys/geom/label/g_label_disk_ident.c projects/bhyve_svm/sys/i386/include/counter.h - copied unchanged from r254014, head/sys/i386/include/counter.h projects/bhyve_svm/sys/i386/include/fdt.h - copied unchanged from r254014, head/sys/i386/include/fdt.h projects/bhyve_svm/sys/i386/include/ofw_machdep.h - copied unchanged from r254014, head/sys/i386/include/ofw_machdep.h projects/bhyve_svm/sys/ia64/include/counter.h - copied unchanged from r254014, head/sys/ia64/include/counter.h projects/bhyve_svm/sys/kern/subr_counter.c - copied unchanged from r254014, head/sys/kern/subr_counter.c projects/bhyve_svm/sys/kern/subr_pctrie.c - copied unchanged from r254014, head/sys/kern/subr_pctrie.c projects/bhyve_svm/sys/kern/subr_vmem.c - copied unchanged from r254014, head/sys/kern/subr_vmem.c projects/bhyve_svm/sys/libkern/flsll.c - copied unchanged from r254014, head/sys/libkern/flsll.c projects/bhyve_svm/sys/mips/atheros/ar934x_chip.c - copied unchanged from r254014, head/sys/mips/atheros/ar934x_chip.c projects/bhyve_svm/sys/mips/atheros/ar934x_chip.h - copied unchanged from r254014, head/sys/mips/atheros/ar934x_chip.h projects/bhyve_svm/sys/mips/atheros/ar934xreg.h - copied unchanged from r254014, head/sys/mips/atheros/ar934xreg.h projects/bhyve_svm/sys/mips/conf/AR934X_BASE - copied unchanged from r254014, head/sys/mips/conf/AR934X_BASE projects/bhyve_svm/sys/mips/conf/AR934X_BASE.hints - copied unchanged from r254014, head/sys/mips/conf/AR934X_BASE.hints projects/bhyve_svm/sys/mips/conf/CARAMBOLA2 - copied unchanged from r254014, head/sys/mips/conf/CARAMBOLA2 projects/bhyve_svm/sys/mips/conf/CARAMBOLA2.hints - copied unchanged from r254014, head/sys/mips/conf/CARAMBOLA2.hints projects/bhyve_svm/sys/mips/conf/DB120 - copied unchanged from r254014, head/sys/mips/conf/DB120 projects/bhyve_svm/sys/mips/conf/DB120.hints - copied unchanged from r254014, head/sys/mips/conf/DB120.hints projects/bhyve_svm/sys/mips/conf/ENH200 - copied unchanged from r254014, head/sys/mips/conf/ENH200 projects/bhyve_svm/sys/mips/conf/ENH200.hints - copied unchanged from r254014, head/sys/mips/conf/ENH200.hints projects/bhyve_svm/sys/mips/include/counter.h - copied unchanged from r254014, head/sys/mips/include/counter.h projects/bhyve_svm/sys/mips/mips/stdatomic.c - copied unchanged from r254014, head/sys/mips/mips/stdatomic.c projects/bhyve_svm/sys/modules/aacraid/ - copied from r254014, head/sys/modules/aacraid/ projects/bhyve_svm/sys/modules/acpi/acpi_rapidstart/ - copied from r254014, head/sys/modules/acpi/acpi_rapidstart/ projects/bhyve_svm/sys/modules/cc/cc_cdg/ - copied from r254014, head/sys/modules/cc/cc_cdg/ projects/bhyve_svm/sys/modules/ctl/ - copied from r254014, head/sys/modules/ctl/ projects/bhyve_svm/sys/modules/cxgbe/t5_firmware/ - copied from r254014, head/sys/modules/cxgbe/t5_firmware/ projects/bhyve_svm/sys/modules/hptnr/ - copied from r254014, head/sys/modules/hptnr/ projects/bhyve_svm/sys/modules/ntb/ - copied from r254014, head/sys/modules/ntb/ projects/bhyve_svm/sys/modules/qlxgbe/ - copied from r254014, head/sys/modules/qlxgbe/ projects/bhyve_svm/sys/modules/qlxge/ - copied from r254014, head/sys/modules/qlxge/ projects/bhyve_svm/sys/modules/usb/g_audio/ - copied from r254014, head/sys/modules/usb/g_audio/ projects/bhyve_svm/sys/modules/usb/g_keyboard/ - copied from r254014, head/sys/modules/usb/g_keyboard/ projects/bhyve_svm/sys/modules/usb/g_modem/ - copied from r254014, head/sys/modules/usb/g_modem/ projects/bhyve_svm/sys/modules/usb/g_mouse/ - copied from r254014, head/sys/modules/usb/g_mouse/ projects/bhyve_svm/sys/modules/usb/rsu/ - copied from r254014, head/sys/modules/usb/rsu/ projects/bhyve_svm/sys/modules/usb/rsufw/ - copied from r254014, head/sys/modules/usb/rsufw/ projects/bhyve_svm/sys/modules/usb/runfw/ - copied from r254014, head/sys/modules/usb/runfw/ projects/bhyve_svm/sys/modules/usb/urtwn/ - copied from r254014, head/sys/modules/usb/urtwn/ projects/bhyve_svm/sys/modules/usb/urtwnfw/ - copied from r254014, head/sys/modules/usb/urtwnfw/ projects/bhyve_svm/sys/netinet/cc/cc_cdg.c - copied unchanged from r254014, head/sys/netinet/cc/cc_cdg.c projects/bhyve_svm/sys/nfs/nfs_fha.c - copied unchanged from r254014, head/sys/nfs/nfs_fha.c projects/bhyve_svm/sys/nfs/nfs_fha.h - copied unchanged from r254014, head/sys/nfs/nfs_fha.h projects/bhyve_svm/sys/nfsserver/nfs_fha_old.c - copied unchanged from r254014, head/sys/nfsserver/nfs_fha_old.c projects/bhyve_svm/sys/nfsserver/nfs_fha_old.h - copied unchanged from r254014, head/sys/nfsserver/nfs_fha_old.h projects/bhyve_svm/sys/pc98/include/counter.h - copied unchanged from r254014, head/sys/pc98/include/counter.h projects/bhyve_svm/sys/powerpc/include/counter.h - copied unchanged from r254014, head/sys/powerpc/include/counter.h projects/bhyve_svm/sys/sparc64/include/counter.h - copied unchanged from r254014, head/sys/sparc64/include/counter.h projects/bhyve_svm/sys/sys/_bitset.h - copied unchanged from r254014, head/sys/sys/_bitset.h projects/bhyve_svm/sys/sys/_pctrie.h - copied unchanged from r254014, head/sys/sys/_pctrie.h projects/bhyve_svm/sys/sys/bitset.h - copied unchanged from r254014, head/sys/sys/bitset.h projects/bhyve_svm/sys/sys/counter.h - copied unchanged from r254014, head/sys/sys/counter.h projects/bhyve_svm/sys/sys/pctrie.h - copied unchanged from r254014, head/sys/sys/pctrie.h projects/bhyve_svm/sys/sys/stdatomic.h - copied unchanged from r254014, head/sys/sys/stdatomic.h projects/bhyve_svm/sys/sys/vmem.h - copied unchanged from r254014, head/sys/sys/vmem.h projects/bhyve_svm/sys/x86/include/fdt.h - copied unchanged from r254014, head/sys/x86/include/fdt.h projects/bhyve_svm/sys/x86/include/ofw_machdep.h - copied unchanged from r254014, head/sys/x86/include/ofw_machdep.h projects/bhyve_svm/sys/x86/x86/fdt_machdep.c - copied unchanged from r254014, head/sys/x86/x86/fdt_machdep.c projects/bhyve_svm/sys/xen/hvm.h - copied unchanged from r254014, head/sys/xen/hvm.h - copied from r254014, head/sys/xen/interface/arch-arm/ projects/bhyve_svm/sys/xen/interface/arch-arm.h - copied unchanged from r254014, head/sys/xen/interface/arch-arm.h - copied from r254014, head/sys/xen/interface/arch-ia64/ projects/bhyve_svm/sys/xen/interface/io/fsif.h - copied unchanged from r254014, head/sys/xen/interface/io/fsif.h projects/bhyve_svm/sys/xen/interface/io/libxenvchan.h - copied unchanged from r254014, head/sys/xen/interface/io/libxenvchan.h projects/bhyve_svm/sys/xen/interface/io/usbif.h - copied unchanged from r254014, head/sys/xen/interface/io/usbif.h projects/bhyve_svm/sys/xen/interface/io/vscsiif.h - copied unchanged from r254014, head/sys/xen/interface/io/vscsiif.h projects/bhyve_svm/sys/xen/interface/mem_event.h - copied unchanged from r254014, head/sys/xen/interface/mem_event.h projects/bhyve_svm/sys/xen/interface/tmem.h - copied unchanged from r254014, head/sys/xen/interface/tmem.h - copied from r254014, head/sys/xen/interface/xsm/ projects/bhyve_svm/tools/build/options/WITHOUT_ARM_EABI - copied unchanged from r254014, head/tools/build/options/WITHOUT_ARM_EABI projects/bhyve_svm/tools/build/options/WITHOUT_BMAKE - copied unchanged from r254014, head/tools/build/options/WITHOUT_BMAKE projects/bhyve_svm/tools/build/options/WITHOUT_CROSS_COMPILER - copied unchanged from r254014, head/tools/build/options/WITHOUT_CROSS_COMPILER projects/bhyve_svm/tools/build/options/WITHOUT_FORMAT_EXTENSIONS - copied unchanged from r254014, head/tools/build/options/WITHOUT_FORMAT_EXTENSIONS projects/bhyve_svm/tools/build/options/WITHOUT_SVNLITE - copied unchanged from r254014, head/tools/build/options/WITHOUT_SVNLITE projects/bhyve_svm/tools/build/options/WITH_DEBUG_FILES - copied unchanged from r254014, head/tools/build/options/WITH_DEBUG_FILES projects/bhyve_svm/tools/build/options/WITH_GNU_PATCH - copied unchanged from r254014, head/tools/build/options/WITH_GNU_PATCH projects/bhyve_svm/tools/build/options/WITH_PKGTOOLS - copied unchanged from r254014, head/tools/build/options/WITH_PKGTOOLS projects/bhyve_svm/tools/build/options/WITH_SVN - copied unchanged from r254014, head/tools/build/options/WITH_SVN projects/bhyve_svm/tools/regression/bin/sh/builtins/break4.4 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/break4.4 projects/bhyve_svm/tools/regression/bin/sh/builtins/break5.4 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/break5.4 projects/bhyve_svm/tools/regression/bin/sh/builtins/eval6.0 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/eval6.0 projects/bhyve_svm/tools/regression/bin/sh/builtins/local2.0 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/local2.0 projects/bhyve_svm/tools/regression/bin/sh/builtins/local3.0 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/local3.0 projects/bhyve_svm/tools/regression/bin/sh/builtins/read7.0 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/read7.0 projects/bhyve_svm/tools/regression/bin/sh/builtins/wait10.0 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/wait10.0 projects/bhyve_svm/tools/regression/bin/sh/builtins/wait8.0 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/wait8.0 projects/bhyve_svm/tools/regression/bin/sh/builtins/wait9.127 - copied unchanged from r254014, head/tools/regression/bin/sh/builtins/wait9.127 projects/bhyve_svm/tools/regression/bin/sh/execution/int-cmd1.0 - copied unchanged from r254014, head/tools/regression/bin/sh/execution/int-cmd1.0 projects/bhyve_svm/tools/regression/bin/sh/execution/not1.0 - copied unchanged from r254014, head/tools/regression/bin/sh/execution/not1.0 projects/bhyve_svm/tools/regression/bin/sh/execution/not2.0 - copied unchanged from r254014, head/tools/regression/bin/sh/execution/not2.0 projects/bhyve_svm/tools/regression/include/stdatomic/ - copied from r254014, head/tools/regression/include/stdatomic/ projects/bhyve_svm/tools/regression/lib/libc/gen/test-popen.c - copied unchanged from r254014, head/tools/regression/lib/libc/gen/test-popen.c projects/bhyve_svm/tools/regression/lib/libc/locale/test-c16rtomb.c - copied unchanged from r254014, head/tools/regression/lib/libc/locale/test-c16rtomb.c projects/bhyve_svm/tools/regression/lib/libc/locale/test-mbrtoc16.c - copied unchanged from r254014, head/tools/regression/lib/libc/locale/test-mbrtoc16.c projects/bhyve_svm/tools/regression/lib/msun/test-invctrig.c - copied unchanged from r254014, head/tools/regression/lib/msun/test-invctrig.c projects/bhyve_svm/tools/regression/lib/msun/test-utils.h - copied unchanged from r254014, head/tools/regression/lib/msun/test-utils.h projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.0.in - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.0.in projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.0.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.0.out projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.0I.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.0I.out projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.0J.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.0J.out projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.0L.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.0L.out projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.n1.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.n1.out projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.n2.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.n2.out projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.n3.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.n3.out projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.quotes.in - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.quotes.in projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.quotes.out - copied unchanged from r254014, head/tools/regression/usr.bin/xargs/regress.quotes.out projects/bhyve_svm/tools/tools/ath/ath_ee_9300_print/ - copied from r254014, head/tools/tools/ath/ath_ee_9300_print/ projects/bhyve_svm/tools/tools/ath/athalq/txdiff.pl - copied unchanged from r254014, head/tools/tools/ath/athalq/txdiff.pl projects/bhyve_svm/tools/tools/makeroot/ - copied from r254014, head/tools/tools/makeroot/ projects/bhyve_svm/tools/tools/netmap/vale-ctl.c - copied unchanged from r254014, head/tools/tools/netmap/vale-ctl.c projects/bhyve_svm/usr.bin/lex/initparse.c - copied unchanged from r254014, head/usr.bin/lex/initparse.c projects/bhyve_svm/usr.bin/lex/initparse.h - copied unchanged from r254014, head/usr.bin/lex/initparse.h projects/bhyve_svm/usr.bin/lex/initskel.c - copied unchanged from r254014, head/usr.bin/lex/initskel.c projects/bhyve_svm/usr.bin/lex/version.awk - copied unchanged from r254014, head/usr.bin/lex/version.awk projects/bhyve_svm/usr.bin/svn/ - copied from r254014, head/usr.bin/svn/ projects/bhyve_svm/usr.sbin/bhyve/rtc.h - copied unchanged from r254014, head/usr.sbin/bhyve/rtc.h projects/bhyve_svm/usr.sbin/bhyve/virtio.c - copied unchanged from r254014, head/usr.sbin/bhyve/virtio.c projects/bhyve_svm/usr.sbin/bsdconfig/examples/browse_packages.sh - copied unchanged from r254014, head/usr.sbin/bsdconfig/examples/browse_packages.sh projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/services.subr - copied unchanged from r254014, head/usr.sbin/bsdconfig/networking/share/services.subr projects/bhyve_svm/usr.sbin/bsdconfig/packages/ - copied from r254014, head/usr.sbin/bsdconfig/packages/ projects/bhyve_svm/usr.sbin/bsdconfig/share/media/http.subr - copied unchanged from r254014, head/usr.sbin/bsdconfig/share/media/http.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/packages/ - copied from r254014, head/usr.sbin/bsdconfig/share/packages/ projects/bhyve_svm/usr.sbin/bsnmpd/modules/snmp_hast/ - copied from r254014, head/usr.sbin/bsnmpd/modules/snmp_hast/ projects/bhyve_svm/usr.sbin/mfiutil/mfi_bbu.c - copied unchanged from r254014, head/usr.sbin/mfiutil/mfi_bbu.c projects/bhyve_svm/usr.sbin/mfiutil/mfi_foreign.c - copied unchanged from r254014, head/usr.sbin/mfiutil/mfi_foreign.c projects/bhyve_svm/usr.sbin/ppp/ppp.8 - copied unchanged from r254014, head/usr.sbin/ppp/ppp.8 projects/bhyve_svm/usr.sbin/wpa/wpa_priv/ - copied from r254014, head/usr.sbin/wpa/wpa_priv/ Directory Properties: projects/bhyve_svm/contrib/apr/ (props changed) projects/bhyve_svm/contrib/apr-util/ (props changed) projects/bhyve_svm/contrib/serf/ (props changed) projects/bhyve_svm/contrib/subversion/ (props changed) projects/bhyve_svm/contrib/unbound/ (props changed) projects/bhyve_svm/sys/xen/interface/arch-arm/ (props changed) projects/bhyve_svm/sys/xen/interface/arch-ia64/ (props changed) projects/bhyve_svm/sys/xen/interface/xsm/ (props changed) Replaced: projects/bhyve_svm/contrib/bind9/libtool.m4/ - copied from r254014, head/contrib/bind9/libtool.m4/ Deleted: projects/bhyve_svm/bin/sh/init.h projects/bhyve_svm/bin/sh/mkinit.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/arithmetic/tst.complex.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_DYN.bad.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/translators/man.TestTransStability.d projects/bhyve_svm/contrib/cvs/ projects/bhyve_svm/contrib/dialog/samples/copifuncs/admin.funcs projects/bhyve_svm/contrib/dialog/samples/copifuncs/common.funcs projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.funcs projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifman1 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifman2 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifmcfg2 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifmcfg4 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifmcfg5 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifpoll1 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifpoll2 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifreq1 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.ifreq2 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.rcnews projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.sendifm1 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.sendifm2 projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.trnrc projects/bhyve_svm/contrib/dialog/samples/copifuncs/copi.wheel projects/bhyve_svm/contrib/dialog/samples/copifuncs/ifpatch projects/bhyve_svm/contrib/dialog/samples/copismall projects/bhyve_svm/contrib/dialog/samples/dselect projects/bhyve_svm/contrib/dialog/samples/install/FDISK.TEST projects/bhyve_svm/contrib/dialog/samples/install/makefile.in projects/bhyve_svm/contrib/dialog/samples/install/setup.c projects/bhyve_svm/contrib/dialog/samples/install/setup.help projects/bhyve_svm/contrib/dialog/samples/valgrind.log projects/bhyve_svm/contrib/less/Makefile.aut projects/bhyve_svm/contrib/less/Makefile.dsb projects/bhyve_svm/contrib/less/Makefile.dsg projects/bhyve_svm/contrib/less/Makefile.dsu projects/bhyve_svm/contrib/less/Makefile.in projects/bhyve_svm/contrib/less/Makefile.o2e projects/bhyve_svm/contrib/less/Makefile.o9c projects/bhyve_svm/contrib/less/Makefile.o9u projects/bhyve_svm/contrib/less/Makefile.wnb projects/bhyve_svm/contrib/less/Makefile.wnm projects/bhyve_svm/contrib/less/configure projects/bhyve_svm/contrib/less/configure.ac projects/bhyve_svm/contrib/less/defines.ds projects/bhyve_svm/contrib/less/defines.h.in projects/bhyve_svm/contrib/less/defines.o2 projects/bhyve_svm/contrib/less/defines.o9 projects/bhyve_svm/contrib/less/defines.wn projects/bhyve_svm/contrib/less/install.sh projects/bhyve_svm/contrib/less/less.man projects/bhyve_svm/contrib/less/lessecho.man projects/bhyve_svm/contrib/less/lesskey.man projects/bhyve_svm/contrib/less/mkfuncs.awk projects/bhyve_svm/contrib/less/mkinstalldirs projects/bhyve_svm/contrib/llvm/include/llvm-c/EnhancedDisassembly.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/InMemoryStruct.h projects/bhyve_svm/contrib/llvm/include/llvm/AddressingMode.h projects/bhyve_svm/contrib/llvm/include/llvm/Argument.h projects/bhyve_svm/contrib/llvm/include/llvm/Attributes.h projects/bhyve_svm/contrib/llvm/include/llvm/BasicBlock.h projects/bhyve_svm/contrib/llvm/include/llvm/CallGraphSCCPass.h projects/bhyve_svm/contrib/llvm/include/llvm/CallingConv.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineLoopRanges.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ScheduleDAGILP.h projects/bhyve_svm/contrib/llvm/include/llvm/Constant.h projects/bhyve_svm/contrib/llvm/include/llvm/Constants.h projects/bhyve_svm/contrib/llvm/include/llvm/DataLayout.h projects/bhyve_svm/contrib/llvm/include/llvm/DefaultPasses.h projects/bhyve_svm/contrib/llvm/include/llvm/DerivedTypes.h projects/bhyve_svm/contrib/llvm/include/llvm/Function.h projects/bhyve_svm/contrib/llvm/include/llvm/GlobalAlias.h projects/bhyve_svm/contrib/llvm/include/llvm/GlobalValue.h projects/bhyve_svm/contrib/llvm/include/llvm/GlobalVariable.h projects/bhyve_svm/contrib/llvm/include/llvm/IRBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm/InlineAsm.h projects/bhyve_svm/contrib/llvm/include/llvm/InstrTypes.h projects/bhyve_svm/contrib/llvm/include/llvm/Instruction.def projects/bhyve_svm/contrib/llvm/include/llvm/Instruction.h projects/bhyve_svm/contrib/llvm/include/llvm/Instructions.h projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicInst.h projects/bhyve_svm/contrib/llvm/include/llvm/Intrinsics.h projects/bhyve_svm/contrib/llvm/include/llvm/Intrinsics.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsARM.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsCellSPU.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsHexagon.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsMips.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsNVVM.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsPowerPC.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsX86.td projects/bhyve_svm/contrib/llvm/include/llvm/IntrinsicsXCore.td projects/bhyve_svm/contrib/llvm/include/llvm/LLVMContext.h projects/bhyve_svm/contrib/llvm/include/llvm/LinkAllVMCore.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/EDInstInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCTargetAsmLexer.h projects/bhyve_svm/contrib/llvm/include/llvm/MDBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm/Metadata.h projects/bhyve_svm/contrib/llvm/include/llvm/Module.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/MachOObject.h projects/bhyve_svm/contrib/llvm/include/llvm/OperandTraits.h projects/bhyve_svm/contrib/llvm/include/llvm/Operator.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/IRReader.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/InstVisitor.h projects/bhyve_svm/contrib/llvm/include/llvm/SymbolTableListTraits.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetTransformImpl.h projects/bhyve_svm/contrib/llvm/include/llvm/TargetTransformInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/AddrModeMatcher.h projects/bhyve_svm/contrib/llvm/include/llvm/Type.h projects/bhyve_svm/contrib/llvm/include/llvm/TypeBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm/TypeFinder.h projects/bhyve_svm/contrib/llvm/include/llvm/Use.h projects/bhyve_svm/contrib/llvm/include/llvm/User.h projects/bhyve_svm/contrib/llvm/include/llvm/Value.h projects/bhyve_svm/contrib/llvm/include/llvm/ValueSymbolTable.h projects/bhyve_svm/contrib/llvm/lib/Analysis/DbgInfoPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/InlineCost.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/CodePlacementOpt.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveIntervalUnion.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveRegMatrix.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineLoopRanges.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineTraceMetrics.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetInstrInfoImpl.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/VirtRegMap.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFFormValue.h projects/bhyve_svm/contrib/llvm/lib/Linker/LinkArchives.cpp projects/bhyve_svm/contrib/llvm/lib/Linker/LinkItems.cpp projects/bhyve_svm/contrib/llvm/lib/Linker/Linker.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDDisassembler.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDDisassembler.h projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDInfo.h projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDInst.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDInst.h projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDMain.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDOperand.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDOperand.h projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDToken.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/EDToken.h projects/bhyve_svm/contrib/llvm/lib/MC/MCELF.h projects/bhyve_svm/contrib/llvm/lib/MC/MCTargetAsmLexer.cpp projects/bhyve_svm/contrib/llvm/lib/Object/MachOObject.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/AsmParser/ARMAsmLexer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/CellSPU/ projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonImmediates.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonMCInst.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/AsmParser/MBlazeAsmLexer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/InstPrinter/Makefile projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/MCTargetDesc/Makefile projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/Makefile projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/TargetInfo/Makefile projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/VectorElementize.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/gen-register-defs.py projects/bhyve_svm/contrib/llvm/lib/Target/PTX/ projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCBaseInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/TargetInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetTransformImpl.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/AsmParser/X86AsmLexer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/BlackList.h projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/ObjCARC.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/AddrModeMatcher.cpp projects/bhyve_svm/contrib/llvm/lib/VMCore/ projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ASTMatchers/ASTTypeTraits.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/ConvertUTF.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/PPMutationListener.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CommentDumper.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/StmtDumper.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ASTMatchers/Makefile projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/ConvertUTF.c projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/ConvertUTFWrapper.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/MacroArgs.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Core/CMakeLists.txt projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Core/Makefile projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/CMakeLists.txt projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/Makefile projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/AttrNonNullChecker.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/EDEmitter.cpp projects/bhyve_svm/contrib/openbsm/m4/lt~obsolete.m4 projects/bhyve_svm/contrib/smbfs/mount_smbfs/Makefile projects/bhyve_svm/contrib/wpa/hostapd/.gitignore projects/bhyve_svm/contrib/wpa/hostapd/Makefile projects/bhyve_svm/contrib/wpa/src/Makefile projects/bhyve_svm/contrib/wpa/src/ap/Makefile projects/bhyve_svm/contrib/wpa/src/common/Makefile projects/bhyve_svm/contrib/wpa/src/crypto/.gitignore projects/bhyve_svm/contrib/wpa/src/crypto/Makefile projects/bhyve_svm/contrib/wpa/src/crypto/md5-non-fips.c projects/bhyve_svm/contrib/wpa/src/drivers/.gitignore projects/bhyve_svm/contrib/wpa/src/drivers/Makefile projects/bhyve_svm/contrib/wpa/src/drivers/driver_ndiswrapper.c projects/bhyve_svm/contrib/wpa/src/drivers/drivers.mak projects/bhyve_svm/contrib/wpa/src/eap_common/Makefile projects/bhyve_svm/contrib/wpa/src/eap_peer/Makefile projects/bhyve_svm/contrib/wpa/src/eap_server/Makefile projects/bhyve_svm/contrib/wpa/src/eapol_auth/Makefile projects/bhyve_svm/contrib/wpa/src/eapol_supp/Makefile projects/bhyve_svm/contrib/wpa/src/l2_packet/Makefile projects/bhyve_svm/contrib/wpa/src/lib.rules projects/bhyve_svm/contrib/wpa/src/radius/.gitignore projects/bhyve_svm/contrib/wpa/src/radius/Makefile projects/bhyve_svm/contrib/wpa/src/rsn_supp/Makefile projects/bhyve_svm/contrib/wpa/src/tls/.gitignore projects/bhyve_svm/contrib/wpa/src/tls/Makefile projects/bhyve_svm/contrib/wpa/src/utils/.gitignore projects/bhyve_svm/contrib/wpa/src/utils/Makefile projects/bhyve_svm/contrib/wpa/src/wps/Makefile projects/bhyve_svm/contrib/wpa/src/wps/wps_nfc.c projects/bhyve_svm/contrib/wpa/src/wps/wps_nfc_pn531.c projects/bhyve_svm/contrib/wpa/src/wps/wps_ufd.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/.gitignore projects/bhyve_svm/contrib/wpa/wpa_supplicant/Makefile projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/.gitignore projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/fi.epitest.hostap.WPASupplicant.service projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/fi.w1.wpa_supplicant1.service projects/bhyve_svm/contrib/wpa/wpa_supplicant/doc/ projects/bhyve_svm/contrib/wpa/wpa_supplicant/mlme.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/mlme.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_supplicant.nsi projects/bhyve_svm/etc/periodic/daily/405.status-ata-raid projects/bhyve_svm/etc/rc.d/encswap projects/bhyve_svm/etc/rc.d/swap1 projects/bhyve_svm/gnu/lib/libregex/FREEBSD-upgrade projects/bhyve_svm/gnu/lib/libregex/posix/ projects/bhyve_svm/gnu/lib/libregex/regcomp.c projects/bhyve_svm/gnu/lib/libregex/regex.c projects/bhyve_svm/gnu/lib/libregex/regex_internal.c projects/bhyve_svm/gnu/lib/libregex/regex_internal.h projects/bhyve_svm/gnu/lib/libregex/regexec.c projects/bhyve_svm/gnu/usr.bin/cc/f77/ projects/bhyve_svm/gnu/usr.bin/cc/f771/ projects/bhyve_svm/gnu/usr.bin/cc/f77doc/ projects/bhyve_svm/gnu/usr.bin/cvs/ projects/bhyve_svm/gnu/usr.bin/gdb/libgdb/frame-unwind.diff projects/bhyve_svm/include/stdatomic.h projects/bhyve_svm/lib/clang/include/ARMGenEDInfo.inc projects/bhyve_svm/lib/clang/include/MipsGenEDInfo.inc projects/bhyve_svm/lib/clang/include/X86GenEDInfo.inc projects/bhyve_svm/lib/clang/include/llvm/Intrinsics.gen projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_add_4.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_add_8.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_and_4.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_and_8.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_op_n.h projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_or_4.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_or_8.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_sub_4.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_sub_8.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_xor_4.c projects/bhyve_svm/lib/libcompiler_rt/__sync_fetch_and_xor_8.c projects/bhyve_svm/lib/libcompiler_rt/__sync_lock_test_and_set_4.c projects/bhyve_svm/lib/libcompiler_rt/__sync_lock_test_and_set_8.c projects/bhyve_svm/lib/libcompiler_rt/__sync_val_compare_and_swap_4.c projects/bhyve_svm/lib/libcompiler_rt/__sync_val_compare_and_swap_8.c projects/bhyve_svm/lib/libcompiler_rt/__sync_val_compare_and_swap_n.h projects/bhyve_svm/lib/libstand/arm/ projects/bhyve_svm/lib/msun/amd64/fenv.h projects/bhyve_svm/lib/msun/i387/fenv.h projects/bhyve_svm/release/doc/ja_JP.eucJP/share/xml/dev-auto-ja.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/share/xml/dev-auto-ru.xml projects/bhyve_svm/release/picobsd/floppy.tree/etc/sshd_config projects/bhyve_svm/sbin/atacontrol/ projects/bhyve_svm/share/doc/psd/28.cvs/ projects/bhyve_svm/share/man/man4/atapicam.4 projects/bhyve_svm/share/man/man4/ataraid.4 projects/bhyve_svm/share/man/man9/vfs_mount.9 projects/bhyve_svm/sys/amd64/compile/.cvsignore projects/bhyve_svm/sys/amd64/conf/.cvsignore projects/bhyve_svm/sys/arm/compile/.cvsignore projects/bhyve_svm/sys/arm/conf/.cvsignore projects/bhyve_svm/sys/arm/freescale/imx/imx.files projects/bhyve_svm/sys/arm/freescale/imx/std.imx projects/bhyve_svm/sys/boot/fdt/dts/bcm2835-rpi-b.dts projects/bhyve_svm/sys/compat/linux/linux_videodev.h projects/bhyve_svm/sys/compat/linux/linux_videodev2.h projects/bhyve_svm/sys/dev/ata/ata-disk.c projects/bhyve_svm/sys/dev/ata/ata-disk.h projects/bhyve_svm/sys/dev/ata/ata-queue.c projects/bhyve_svm/sys/dev/ata/ata-raid-ddf.h projects/bhyve_svm/sys/dev/ata/ata-raid.c projects/bhyve_svm/sys/dev/ata/ata-raid.h projects/bhyve_svm/sys/dev/ata/atapi-cam.c projects/bhyve_svm/sys/dev/ata/atapi-cd.c projects/bhyve_svm/sys/dev/ata/atapi-cd.h projects/bhyve_svm/sys/dev/ata/atapi-fd.c projects/bhyve_svm/sys/dev/ata/atapi-fd.h projects/bhyve_svm/sys/dev/ata/atapi-tape.c projects/bhyve_svm/sys/dev/ata/atapi-tape.h projects/bhyve_svm/sys/dev/cxgbe/firmware/t4fw-1.8.4.0.bin.uu projects/bhyve_svm/sys/dev/hpt27xx/os_bsd.c projects/bhyve_svm/sys/dev/hpt27xx/osm_bsd.c projects/bhyve_svm/sys/dev/nvme/nvme_uio.c projects/bhyve_svm/sys/i386/compile/.cvsignore projects/bhyve_svm/sys/i386/conf/.cvsignore projects/bhyve_svm/sys/ia64/compile/.cvsignore projects/bhyve_svm/sys/ia64/conf/.cvsignore projects/bhyve_svm/sys/mips/compile/.cvsignore projects/bhyve_svm/sys/mips/conf/.cvsignore projects/bhyve_svm/sys/modules/ata/atacam/ projects/bhyve_svm/sys/modules/ata/atadevel/ projects/bhyve_svm/sys/modules/ata/atadisk/ projects/bhyve_svm/sys/modules/ata/atapicam/ projects/bhyve_svm/sys/modules/ata/atapicd/ projects/bhyve_svm/sys/modules/ata/atapifd/ projects/bhyve_svm/sys/modules/ata/atapist/ projects/bhyve_svm/sys/modules/ata/ataraid/ projects/bhyve_svm/sys/modules/runfw/ projects/bhyve_svm/sys/nfsserver/nfs_fha.c projects/bhyve_svm/sys/nfsserver/nfs_fha.h projects/bhyve_svm/sys/pc98/compile/.cvsignore projects/bhyve_svm/sys/pc98/conf/.cvsignore projects/bhyve_svm/sys/powerpc/compile/.cvsignore projects/bhyve_svm/sys/powerpc/conf/.cvsignore projects/bhyve_svm/sys/sparc64/compile/.cvsignore projects/bhyve_svm/sys/sparc64/conf/.cvsignore projects/bhyve_svm/sys/xen/interface/hvm/vmx_assist.h projects/bhyve_svm/tools/build/options/WITHOUT_PKGTOOLS projects/bhyve_svm/tools/build/options/WITH_ARM_EABI projects/bhyve_svm/tools/build/options/WITH_BMAKE projects/bhyve_svm/tools/build/options/WITH_BSD_PATCH projects/bhyve_svm/tools/build/options/WITH_IDEA projects/bhyve_svm/tools/regression/geom_uzip/.cvsignore projects/bhyve_svm/usr.bin/lex/COPYING projects/bhyve_svm/usr.bin/lex/FlexLexer.h projects/bhyve_svm/usr.bin/lex/NEWS projects/bhyve_svm/usr.bin/lex/README projects/bhyve_svm/usr.bin/lex/ccl.c projects/bhyve_svm/usr.bin/lex/dfa.c projects/bhyve_svm/usr.bin/lex/ecs.c projects/bhyve_svm/usr.bin/lex/flex.skl projects/bhyve_svm/usr.bin/lex/flexdef.h projects/bhyve_svm/usr.bin/lex/gen.c projects/bhyve_svm/usr.bin/lex/lib/libmain.c projects/bhyve_svm/usr.bin/lex/lib/libyywrap.c projects/bhyve_svm/usr.bin/lex/main.c projects/bhyve_svm/usr.bin/lex/misc.c projects/bhyve_svm/usr.bin/lex/mkskel.sh projects/bhyve_svm/usr.bin/lex/nfa.c projects/bhyve_svm/usr.bin/lex/parse.y projects/bhyve_svm/usr.bin/lex/scan.l projects/bhyve_svm/usr.bin/lex/sym.c projects/bhyve_svm/usr.bin/lex/tblcmp.c projects/bhyve_svm/usr.bin/lex/version.h projects/bhyve_svm/usr.bin/lex/yylex.c projects/bhyve_svm/usr.bin/systat/mbufs.c projects/bhyve_svm/usr.sbin/bhyve/uart.c projects/bhyve_svm/usr.sbin/burncd/ projects/bhyve_svm/usr.sbin/makefs/compat/ projects/bhyve_svm/usr.sbin/makefs/getid.c projects/bhyve_svm/usr.sbin/ppp/ppp.8.m4 projects/bhyve_svm/usr.sbin/wpa/hostapd/driver_freebsd.c projects/bhyve_svm/usr.sbin/wpa/wpa_supplicant/driver_freebsd.c Modified: projects/bhyve_svm/MAINTAINERS (contents, props changed) projects/bhyve_svm/Makefile projects/bhyve_svm/Makefile.inc1 projects/bhyve_svm/ObsoleteFiles.inc projects/bhyve_svm/UPDATING projects/bhyve_svm/bin/cat/cat.c projects/bhyve_svm/bin/chio/chio.c projects/bhyve_svm/bin/dd/args.c projects/bhyve_svm/bin/dd/conv_tab.c projects/bhyve_svm/bin/dd/dd.c projects/bhyve_svm/bin/dd/extern.h projects/bhyve_svm/bin/dd/misc.c projects/bhyve_svm/bin/dd/position.c projects/bhyve_svm/bin/df/df.1 projects/bhyve_svm/bin/df/df.c projects/bhyve_svm/bin/domainname/domainname.1 projects/bhyve_svm/bin/ed/ed.1 projects/bhyve_svm/bin/ed/re.c projects/bhyve_svm/bin/expr/Makefile projects/bhyve_svm/bin/hostname/hostname.c projects/bhyve_svm/bin/kenv/kenv.c projects/bhyve_svm/bin/kill/kill.c projects/bhyve_svm/bin/ln/ln.c projects/bhyve_svm/bin/mkdir/mkdir.c projects/bhyve_svm/bin/rm/rm.1 projects/bhyve_svm/bin/rm/rm.c projects/bhyve_svm/bin/sh/Makefile projects/bhyve_svm/bin/sh/TOUR projects/bhyve_svm/bin/sh/eval.c projects/bhyve_svm/bin/sh/eval.h projects/bhyve_svm/bin/sh/exec.c projects/bhyve_svm/bin/sh/input.c projects/bhyve_svm/bin/sh/input.h projects/bhyve_svm/bin/sh/jobs.c projects/bhyve_svm/bin/sh/main.c projects/bhyve_svm/bin/sh/memalloc.c projects/bhyve_svm/bin/sh/memalloc.h projects/bhyve_svm/bin/sh/miscbltin.c projects/bhyve_svm/bin/sh/nodes.c.pat projects/bhyve_svm/bin/sh/output.c projects/bhyve_svm/bin/sh/parser.c projects/bhyve_svm/bin/sh/parser.h projects/bhyve_svm/bin/sh/redir.c projects/bhyve_svm/bin/sh/redir.h projects/bhyve_svm/bin/sh/sh.1 projects/bhyve_svm/bin/sh/shell.h projects/bhyve_svm/bin/sh/trap.c projects/bhyve_svm/bin/sh/var.c projects/bhyve_svm/bin/sleep/sleep.c projects/bhyve_svm/bin/test/test.1 projects/bhyve_svm/bin/test/test.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/dtrace.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/jdtrace/exception.lst projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/cmd/scripts/dtest.pl projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/buffering/tst.fill1.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionentry.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.functionreturnvalue.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.ioctlargs.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.offset.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.offsetzero.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.return0.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/fbtprovider/tst.tailcall.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/funcs/tst.strjoin.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/ip/get.ipv4remote.pl projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/ip/get.ipv6remote.pl projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.BadAlign.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress2.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress3.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/pointers/err.InvalidAddress4.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PRINT_VOID.bad.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/err.D_PROTO_LEN.bad.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/printf/tst.ints.d.out projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/safety/tst.copyin.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/sdt/tst.sdtargs.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/sdt/tst.sdtargs.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/speculation/err.BufSizeVariations1.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/speculation/err.BufSizeVariations2.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_PROTO_LEN.bad.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/trace/err.D_TRACE_VOID.bad.d projects/bhyve_svm/cddl/contrib/opensolaris/cmd/zdb/zdb.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/zfs/zfs_main.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/zhack/zhack.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/zpool/zpool_main.c projects/bhyve_svm/cddl/contrib/opensolaris/cmd/ztest/ztest.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_aggregate.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_cc.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_cg.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_consume.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_decl.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_dis.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_error.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_errtags.h projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_impl.h projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_open.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_options.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_parser.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_print.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_printf.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_regset.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_regset.h projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/common/dt_subr.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libdtrace/i386/dt_isadep.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs/common/libzfs.h projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_compat.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_dataset.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_pool.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_sendrecv.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs/common/libzfs_status.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs_core/common/libzfs_core.c projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzpool/common/sys/zfs_context.h projects/bhyve_svm/cddl/contrib/opensolaris/tools/ctf/cvt/ctf.c projects/bhyve_svm/cddl/contrib/opensolaris/tools/ctf/cvt/ctftools.h projects/bhyve_svm/cddl/contrib/opensolaris/tools/ctf/cvt/dwarf.c projects/bhyve_svm/cddl/contrib/opensolaris/tools/ctf/cvt/input.c projects/bhyve_svm/cddl/contrib/opensolaris/tools/ctf/cvt/st_parse.c projects/bhyve_svm/cddl/contrib/opensolaris/tools/ctf/cvt/traverse.c projects/bhyve_svm/cddl/contrib/opensolaris/tools/ctf/cvt/util.c projects/bhyve_svm/cddl/lib/drti/Makefile projects/bhyve_svm/cddl/lib/libdtrace/Makefile projects/bhyve_svm/cddl/lib/libdtrace/nfs.d projects/bhyve_svm/contrib/atf/atf-c++/check_test.cpp projects/bhyve_svm/contrib/atf/atf-c++/detail/process_test.cpp projects/bhyve_svm/contrib/atf/atf-c++/detail/test_helpers.cpp projects/bhyve_svm/contrib/atf/atf-c++/detail/test_helpers.hpp projects/bhyve_svm/contrib/bind9/CHANGES projects/bhyve_svm/contrib/bind9/COPYRIGHT projects/bhyve_svm/contrib/bind9/FAQ projects/bhyve_svm/contrib/bind9/FAQ.xml projects/bhyve_svm/contrib/bind9/Makefile.in projects/bhyve_svm/contrib/bind9/README projects/bhyve_svm/contrib/bind9/aclocal.m4 projects/bhyve_svm/contrib/bind9/bin/Makefile.in projects/bhyve_svm/contrib/bind9/bin/check/check-tool.c projects/bhyve_svm/contrib/bind9/bin/check/named-checkconf.c projects/bhyve_svm/contrib/bind9/bin/check/named-checkzone.8 projects/bhyve_svm/contrib/bind9/bin/check/named-checkzone.c projects/bhyve_svm/contrib/bind9/bin/check/named-checkzone.docbook projects/bhyve_svm/contrib/bind9/bin/check/named-checkzone.html projects/bhyve_svm/contrib/bind9/bin/confgen/keygen.c projects/bhyve_svm/contrib/bind9/bin/confgen/rndc-confgen.c projects/bhyve_svm/contrib/bind9/bin/dig/dig.1 projects/bhyve_svm/contrib/bind9/bin/dig/dig.c projects/bhyve_svm/contrib/bind9/bin/dig/dig.docbook projects/bhyve_svm/contrib/bind9/bin/dig/dig.html projects/bhyve_svm/contrib/bind9/bin/dig/dighost.c projects/bhyve_svm/contrib/bind9/bin/dig/host.c projects/bhyve_svm/contrib/bind9/bin/dig/include/dig/dig.h projects/bhyve_svm/contrib/bind9/bin/dnssec/dnssec-keyfromlabel.c projects/bhyve_svm/contrib/bind9/bin/dnssec/dnssec-keygen.c projects/bhyve_svm/contrib/bind9/bin/dnssec/dnssec-revoke.c projects/bhyve_svm/contrib/bind9/bin/dnssec/dnssec-settime.c projects/bhyve_svm/contrib/bind9/bin/dnssec/dnssec-signzone.c projects/bhyve_svm/contrib/bind9/bin/named/Makefile.in projects/bhyve_svm/contrib/bind9/bin/named/client.c projects/bhyve_svm/contrib/bind9/bin/named/config.c projects/bhyve_svm/contrib/bind9/bin/named/control.c projects/bhyve_svm/contrib/bind9/bin/named/controlconf.c projects/bhyve_svm/contrib/bind9/bin/named/include/named/client.h projects/bhyve_svm/contrib/bind9/bin/named/include/named/globals.h projects/bhyve_svm/contrib/bind9/bin/named/include/named/server.h projects/bhyve_svm/contrib/bind9/bin/named/interfacemgr.c projects/bhyve_svm/contrib/bind9/bin/named/log.c projects/bhyve_svm/contrib/bind9/bin/named/logconf.c projects/bhyve_svm/contrib/bind9/bin/named/lwresd.c projects/bhyve_svm/contrib/bind9/bin/named/main.c projects/bhyve_svm/contrib/bind9/bin/named/named.conf.5 projects/bhyve_svm/contrib/bind9/bin/named/named.conf.docbook projects/bhyve_svm/contrib/bind9/bin/named/named.conf.html projects/bhyve_svm/contrib/bind9/bin/named/query.c projects/bhyve_svm/contrib/bind9/bin/named/server.c projects/bhyve_svm/contrib/bind9/bin/named/statschannel.c projects/bhyve_svm/contrib/bind9/bin/named/tkeyconf.c projects/bhyve_svm/contrib/bind9/bin/named/tsigconf.c projects/bhyve_svm/contrib/bind9/bin/named/unix/dlz_dlopen_driver.c projects/bhyve_svm/contrib/bind9/bin/named/update.c projects/bhyve_svm/contrib/bind9/bin/named/xfrout.c projects/bhyve_svm/contrib/bind9/bin/named/zoneconf.c projects/bhyve_svm/contrib/bind9/bin/nsupdate/nsupdate.c projects/bhyve_svm/contrib/bind9/bin/rndc/rndc.c projects/bhyve_svm/contrib/bind9/bin/tools/genrandom.c projects/bhyve_svm/contrib/bind9/bin/tools/isc-hmac-fixup.8 projects/bhyve_svm/contrib/bind9/bin/tools/isc-hmac-fixup.docbook projects/bhyve_svm/contrib/bind9/bin/tools/isc-hmac-fixup.html projects/bhyve_svm/contrib/bind9/config.h.in projects/bhyve_svm/contrib/bind9/config.threads.in projects/bhyve_svm/contrib/bind9/configure.in projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM-book.xml projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch01.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch02.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch03.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch04.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch05.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch06.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch07.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch08.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch09.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.ch10.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.html projects/bhyve_svm/contrib/bind9/doc/arm/Bv9ARM.pdf projects/bhyve_svm/contrib/bind9/doc/arm/man.arpaname.html projects/bhyve_svm/contrib/bind9/doc/arm/man.ddns-confgen.html projects/bhyve_svm/contrib/bind9/doc/arm/man.dig.html projects/bhyve_svm/contrib/bind9/doc/arm/man.dnssec-dsfromkey.html projects/bhyve_svm/contrib/bind9/doc/arm/man.dnssec-keyfromlabel.html projects/bhyve_svm/contrib/bind9/doc/arm/man.dnssec-keygen.html projects/bhyve_svm/contrib/bind9/doc/arm/man.dnssec-revoke.html projects/bhyve_svm/contrib/bind9/doc/arm/man.dnssec-settime.html projects/bhyve_svm/contrib/bind9/doc/arm/man.dnssec-signzone.html projects/bhyve_svm/contrib/bind9/doc/arm/man.genrandom.html projects/bhyve_svm/contrib/bind9/doc/arm/man.host.html projects/bhyve_svm/contrib/bind9/doc/arm/man.isc-hmac-fixup.html projects/bhyve_svm/contrib/bind9/doc/arm/man.named-checkconf.html projects/bhyve_svm/contrib/bind9/doc/arm/man.named-checkzone.html projects/bhyve_svm/contrib/bind9/doc/arm/man.named-journalprint.html projects/bhyve_svm/contrib/bind9/doc/arm/man.named.html projects/bhyve_svm/contrib/bind9/doc/arm/man.nsec3hash.html projects/bhyve_svm/contrib/bind9/doc/arm/man.nsupdate.html projects/bhyve_svm/contrib/bind9/doc/arm/man.rndc-confgen.html projects/bhyve_svm/contrib/bind9/doc/arm/man.rndc.conf.html projects/bhyve_svm/contrib/bind9/doc/arm/man.rndc.html projects/bhyve_svm/contrib/bind9/doc/arm/pkcs11.xml projects/bhyve_svm/contrib/bind9/doc/misc/options projects/bhyve_svm/contrib/bind9/isc-config.sh.in projects/bhyve_svm/contrib/bind9/lib/Makefile.in projects/bhyve_svm/contrib/bind9/lib/bind9/Makefile.in projects/bhyve_svm/contrib/bind9/lib/bind9/api projects/bhyve_svm/contrib/bind9/lib/bind9/check.c projects/bhyve_svm/contrib/bind9/lib/dns/Makefile.in projects/bhyve_svm/contrib/bind9/lib/dns/acache.c projects/bhyve_svm/contrib/bind9/lib/dns/adb.c projects/bhyve_svm/contrib/bind9/lib/dns/api projects/bhyve_svm/contrib/bind9/lib/dns/cache.c projects/bhyve_svm/contrib/bind9/lib/dns/client.c projects/bhyve_svm/contrib/bind9/lib/dns/db.c projects/bhyve_svm/contrib/bind9/lib/dns/dispatch.c projects/bhyve_svm/contrib/bind9/lib/dns/dlz.c projects/bhyve_svm/contrib/bind9/lib/dns/dnssec.c projects/bhyve_svm/contrib/bind9/lib/dns/dst_api.c projects/bhyve_svm/contrib/bind9/lib/dns/dst_internal.h projects/bhyve_svm/contrib/bind9/lib/dns/dst_openssl.h projects/bhyve_svm/contrib/bind9/lib/dns/ecdb.c projects/bhyve_svm/contrib/bind9/lib/dns/gen.c projects/bhyve_svm/contrib/bind9/lib/dns/gssapictx.c projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/acache.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/db.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/message.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/name.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/ncache.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/nsec.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/nsec3.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/rdata.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/result.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/rpz.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/types.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/validator.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/view.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dns/zone.h projects/bhyve_svm/contrib/bind9/lib/dns/include/dst/dst.h projects/bhyve_svm/contrib/bind9/lib/dns/master.c projects/bhyve_svm/contrib/bind9/lib/dns/message.c projects/bhyve_svm/contrib/bind9/lib/dns/name.c projects/bhyve_svm/contrib/bind9/lib/dns/ncache.c projects/bhyve_svm/contrib/bind9/lib/dns/nsec.c projects/bhyve_svm/contrib/bind9/lib/dns/nsec3.c projects/bhyve_svm/contrib/bind9/lib/dns/openssl_link.c projects/bhyve_svm/contrib/bind9/lib/dns/openssldsa_link.c projects/bhyve_svm/contrib/bind9/lib/dns/opensslecdsa_link.c projects/bhyve_svm/contrib/bind9/lib/dns/opensslgost_link.c projects/bhyve_svm/contrib/bind9/lib/dns/opensslrsa_link.c projects/bhyve_svm/contrib/bind9/lib/dns/peer.c projects/bhyve_svm/contrib/bind9/lib/dns/rbt.c projects/bhyve_svm/contrib/bind9/lib/dns/rbtdb.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/any_255/tsig_250.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/dlv_32769.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/keydata_65533.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/mx_15.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/sshfp_44.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/generic/txt_16.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/in_1/naptr_35.c projects/bhyve_svm/contrib/bind9/lib/dns/rdata/in_1/nsap_22.c projects/bhyve_svm/contrib/bind9/lib/dns/request.c projects/bhyve_svm/contrib/bind9/lib/dns/resolver.c projects/bhyve_svm/contrib/bind9/lib/dns/result.c projects/bhyve_svm/contrib/bind9/lib/dns/rootns.c projects/bhyve_svm/contrib/bind9/lib/dns/rpz.c projects/bhyve_svm/contrib/bind9/lib/dns/sdb.c projects/bhyve_svm/contrib/bind9/lib/dns/sdlz.c projects/bhyve_svm/contrib/bind9/lib/dns/spnego.c projects/bhyve_svm/contrib/bind9/lib/dns/spnego_asn1.c projects/bhyve_svm/contrib/bind9/lib/dns/ssu.c projects/bhyve_svm/contrib/bind9/lib/dns/ssu_external.c projects/bhyve_svm/contrib/bind9/lib/dns/tkey.c projects/bhyve_svm/contrib/bind9/lib/dns/tsig.c projects/bhyve_svm/contrib/bind9/lib/dns/validator.c projects/bhyve_svm/contrib/bind9/lib/dns/view.c projects/bhyve_svm/contrib/bind9/lib/dns/xfrin.c projects/bhyve_svm/contrib/bind9/lib/dns/zone.c projects/bhyve_svm/contrib/bind9/lib/export/dns/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/irs/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/isc/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/isc/include/isc/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/isc/nls/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/isc/nothreads/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/isc/pthreads/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/isc/unix/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/isccfg/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/samples/Makefile.in projects/bhyve_svm/contrib/bind9/lib/export/samples/nsprobe.c projects/bhyve_svm/contrib/bind9/lib/export/samples/sample-async.c projects/bhyve_svm/contrib/bind9/lib/export/samples/sample-gai.c projects/bhyve_svm/contrib/bind9/lib/export/samples/sample-request.c projects/bhyve_svm/contrib/bind9/lib/export/samples/sample-update.c projects/bhyve_svm/contrib/bind9/lib/export/samples/sample.c projects/bhyve_svm/contrib/bind9/lib/irs/api projects/bhyve_svm/contrib/bind9/lib/irs/dnsconf.c projects/bhyve_svm/contrib/bind9/lib/irs/getaddrinfo.c projects/bhyve_svm/contrib/bind9/lib/irs/getnameinfo.c projects/bhyve_svm/contrib/bind9/lib/irs/resconf.c projects/bhyve_svm/contrib/bind9/lib/isc/Makefile.in projects/bhyve_svm/contrib/bind9/lib/isc/api projects/bhyve_svm/contrib/bind9/lib/isc/buffer.c projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/Makefile.in projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/buffer.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/file.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/list.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/mem.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/namespace.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/region.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/sockaddr.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/socket.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/task.h projects/bhyve_svm/contrib/bind9/lib/isc/include/isc/timer.h projects/bhyve_svm/contrib/bind9/lib/isc/inet_aton.c projects/bhyve_svm/contrib/bind9/lib/isc/mem.c projects/bhyve_svm/contrib/bind9/lib/isc/nothreads/Makefile.in projects/bhyve_svm/contrib/bind9/lib/isc/parseint.c projects/bhyve_svm/contrib/bind9/lib/isc/pthreads/thread.c projects/bhyve_svm/contrib/bind9/lib/isc/ratelimiter.c projects/bhyve_svm/contrib/bind9/lib/isc/sockaddr.c projects/bhyve_svm/contrib/bind9/lib/isc/sparc64/include/isc/atomic.h projects/bhyve_svm/contrib/bind9/lib/isc/symtab.c projects/bhyve_svm/contrib/bind9/lib/isc/task.c projects/bhyve_svm/contrib/bind9/lib/isc/taskpool.c projects/bhyve_svm/contrib/bind9/lib/isc/timer.c projects/bhyve_svm/contrib/bind9/lib/isc/timer_api.c projects/bhyve_svm/contrib/bind9/lib/isc/unix/entropy.c projects/bhyve_svm/contrib/bind9/lib/isc/unix/file.c projects/bhyve_svm/contrib/bind9/lib/isc/unix/include/isc/time.h projects/bhyve_svm/contrib/bind9/lib/isc/unix/net.c projects/bhyve_svm/contrib/bind9/lib/isc/unix/socket.c projects/bhyve_svm/contrib/bind9/lib/isc/unix/time.c projects/bhyve_svm/contrib/bind9/lib/isccc/api projects/bhyve_svm/contrib/bind9/lib/isccc/cc.c projects/bhyve_svm/contrib/bind9/lib/isccfg/Makefile.in projects/bhyve_svm/contrib/bind9/lib/isccfg/aclconf.c projects/bhyve_svm/contrib/bind9/lib/isccfg/api projects/bhyve_svm/contrib/bind9/lib/isccfg/include/isccfg/cfg.h projects/bhyve_svm/contrib/bind9/lib/isccfg/namedconf.c projects/bhyve_svm/contrib/bind9/lib/isccfg/parser.c projects/bhyve_svm/contrib/bind9/lib/lwres/api projects/bhyve_svm/contrib/bind9/lib/lwres/context.c projects/bhyve_svm/contrib/bind9/lib/lwres/getaddrinfo.c projects/bhyve_svm/contrib/bind9/lib/lwres/getipnode.c projects/bhyve_svm/contrib/bind9/lib/lwres/getnameinfo.c projects/bhyve_svm/contrib/bind9/lib/lwres/getrrset.c projects/bhyve_svm/contrib/bind9/lib/lwres/lwinetaton.c projects/bhyve_svm/contrib/bind9/lib/lwres/print.c projects/bhyve_svm/contrib/bind9/ltmain.sh projects/bhyve_svm/contrib/bind9/make/rules.in projects/bhyve_svm/contrib/bind9/version projects/bhyve_svm/contrib/binutils/binutils/arlex.l projects/bhyve_svm/contrib/binutils/binutils/readelf.c projects/bhyve_svm/contrib/binutils/include/elf/common.h projects/bhyve_svm/contrib/binutils/include/elf/dwarf2.h projects/bhyve_svm/contrib/binutils/ld/ldlex.l projects/bhyve_svm/contrib/binutils/ld/ldmain.c projects/bhyve_svm/contrib/bmake/ChangeLog projects/bhyve_svm/contrib/bmake/FILES projects/bhyve_svm/contrib/bmake/Makefile projects/bhyve_svm/contrib/bmake/bmake.1 projects/bhyve_svm/contrib/bmake/bmake.cat1 projects/bhyve_svm/contrib/bmake/boot-strap projects/bhyve_svm/contrib/bmake/compat.c projects/bhyve_svm/contrib/bmake/configure projects/bhyve_svm/contrib/bmake/configure.in projects/bhyve_svm/contrib/bmake/dir.c projects/bhyve_svm/contrib/bmake/job.c projects/bhyve_svm/contrib/bmake/job.h projects/bhyve_svm/contrib/bmake/main.c projects/bhyve_svm/contrib/bmake/make.1 projects/bhyve_svm/contrib/bmake/make.h projects/bhyve_svm/contrib/bmake/meta.c projects/bhyve_svm/contrib/bmake/meta.h projects/bhyve_svm/contrib/bmake/mk/ChangeLog projects/bhyve_svm/contrib/bmake/mk/FILES projects/bhyve_svm/contrib/bmake/mk/dirdeps.mk projects/bhyve_svm/contrib/bmake/mk/gendirdeps.mk projects/bhyve_svm/contrib/bmake/mk/init.mk projects/bhyve_svm/contrib/bmake/mk/install-mk projects/bhyve_svm/contrib/bmake/mk/lib.mk projects/bhyve_svm/contrib/bmake/mk/meta.stage.mk projects/bhyve_svm/contrib/bmake/mk/meta2deps.py projects/bhyve_svm/contrib/bmake/mk/meta2deps.sh projects/bhyve_svm/contrib/bmake/mk/options.mk projects/bhyve_svm/contrib/bmake/mk/own.mk projects/bhyve_svm/contrib/bmake/mk/prog.mk projects/bhyve_svm/contrib/bmake/mk/progs.mk projects/bhyve_svm/contrib/bmake/mk/sys.dependfile.mk projects/bhyve_svm/contrib/bmake/parse.c projects/bhyve_svm/contrib/bmake/suff.c projects/bhyve_svm/contrib/bmake/unit-tests/Makefile.in projects/bhyve_svm/contrib/bmake/unit-tests/test.exp projects/bhyve_svm/contrib/bmake/var.c projects/bhyve_svm/contrib/bsnmp/oid-list projects/bhyve_svm/contrib/bsnmp/snmp_mibII/mibII.c projects/bhyve_svm/contrib/byacc/CHANGES projects/bhyve_svm/contrib/byacc/VERSION projects/bhyve_svm/contrib/byacc/aclocal.m4 projects/bhyve_svm/contrib/byacc/config.guess projects/bhyve_svm/contrib/byacc/config.sub projects/bhyve_svm/contrib/byacc/config_h.in projects/bhyve_svm/contrib/byacc/configure projects/bhyve_svm/contrib/byacc/configure.in projects/bhyve_svm/contrib/byacc/main.c projects/bhyve_svm/contrib/byacc/makefile.in projects/bhyve_svm/contrib/byacc/output.c projects/bhyve_svm/contrib/byacc/package/byacc.spec projects/bhyve_svm/contrib/byacc/package/debian/changelog projects/bhyve_svm/contrib/byacc/skeleton.c projects/bhyve_svm/contrib/byacc/test/calc.tab.c projects/bhyve_svm/contrib/byacc/test/calc1.tab.c projects/bhyve_svm/contrib/byacc/test/calc1.y projects/bhyve_svm/contrib/byacc/test/calc2.tab.c projects/bhyve_svm/contrib/byacc/test/calc3.tab.c projects/bhyve_svm/contrib/byacc/test/code_calc.code.c projects/bhyve_svm/contrib/byacc/test/code_error.code.c projects/bhyve_svm/contrib/byacc/test/error.tab.c projects/bhyve_svm/contrib/byacc/test/ftp.output projects/bhyve_svm/contrib/byacc/test/ftp.tab.c projects/bhyve_svm/contrib/byacc/test/ftp.tab.h projects/bhyve_svm/contrib/byacc/test/ftp.y projects/bhyve_svm/contrib/byacc/test/grammar.tab.c projects/bhyve_svm/contrib/byacc/test/pure_calc.tab.c projects/bhyve_svm/contrib/byacc/test/pure_error.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc-s.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc2-s.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc2.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc3-s.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc3.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc4-s.tab.c projects/bhyve_svm/contrib/byacc/test/quote_calc4.tab.c projects/bhyve_svm/contrib/dialog/CHANGES projects/bhyve_svm/contrib/dialog/VERSION projects/bhyve_svm/contrib/dialog/aclocal.m4 projects/bhyve_svm/contrib/dialog/argv.c projects/bhyve_svm/contrib/dialog/arrows.c projects/bhyve_svm/contrib/dialog/buttons.c projects/bhyve_svm/contrib/dialog/calendar.c projects/bhyve_svm/contrib/dialog/checklist.c projects/bhyve_svm/contrib/dialog/columns.c projects/bhyve_svm/contrib/dialog/config.guess projects/bhyve_svm/contrib/dialog/config.sub projects/bhyve_svm/contrib/dialog/configure projects/bhyve_svm/contrib/dialog/configure.in projects/bhyve_svm/contrib/dialog/dialog-config.in projects/bhyve_svm/contrib/dialog/dialog.1 projects/bhyve_svm/contrib/dialog/dialog.3 projects/bhyve_svm/contrib/dialog/dialog.c projects/bhyve_svm/contrib/dialog/dialog.h projects/bhyve_svm/contrib/dialog/dlg_colors.h projects/bhyve_svm/contrib/dialog/dlg_keys.c projects/bhyve_svm/contrib/dialog/dlg_keys.h projects/bhyve_svm/contrib/dialog/editbox.c projects/bhyve_svm/contrib/dialog/formbox.c projects/bhyve_svm/contrib/dialog/fselect.c projects/bhyve_svm/contrib/dialog/guage.c projects/bhyve_svm/contrib/dialog/headers-sh.in projects/bhyve_svm/contrib/dialog/help.c projects/bhyve_svm/contrib/dialog/inputbox.c projects/bhyve_svm/contrib/dialog/inputstr.c projects/bhyve_svm/contrib/dialog/makefile.in projects/bhyve_svm/contrib/dialog/menubox.c projects/bhyve_svm/contrib/dialog/mixedform.c projects/bhyve_svm/contrib/dialog/mixedgauge.c projects/bhyve_svm/contrib/dialog/mouse.c projects/bhyve_svm/contrib/dialog/mousewget.c projects/bhyve_svm/contrib/dialog/msgbox.c projects/bhyve_svm/contrib/dialog/package/debian/changelog projects/bhyve_svm/contrib/dialog/package/dialog.spec projects/bhyve_svm/contrib/dialog/pause.c projects/bhyve_svm/contrib/dialog/po/cs.po projects/bhyve_svm/contrib/dialog/po/el.po projects/bhyve_svm/contrib/dialog/po/gl.po projects/bhyve_svm/contrib/dialog/po/hr.po projects/bhyve_svm/contrib/dialog/po/sr.po projects/bhyve_svm/contrib/dialog/po/zh_TW.po projects/bhyve_svm/contrib/dialog/prgbox.c projects/bhyve_svm/contrib/dialog/progressbox.c projects/bhyve_svm/contrib/dialog/rc.c projects/bhyve_svm/contrib/dialog/rename.sh projects/bhyve_svm/contrib/dialog/samples/README projects/bhyve_svm/contrib/dialog/samples/debian.rc projects/bhyve_svm/contrib/dialog/samples/dialog.py projects/bhyve_svm/contrib/dialog/samples/form1 projects/bhyve_svm/contrib/dialog/samples/inputmenu projects/bhyve_svm/contrib/dialog/samples/inputmenu-stdout projects/bhyve_svm/contrib/dialog/samples/inputmenu1 projects/bhyve_svm/contrib/dialog/samples/inputmenu2 projects/bhyve_svm/contrib/dialog/samples/inputmenu3 projects/bhyve_svm/contrib/dialog/samples/inputmenu4 projects/bhyve_svm/contrib/dialog/samples/killall projects/bhyve_svm/contrib/dialog/samples/prgbox projects/bhyve_svm/contrib/dialog/samples/prgbox2 projects/bhyve_svm/contrib/dialog/samples/report-button projects/bhyve_svm/contrib/dialog/samples/report-edit projects/bhyve_svm/contrib/dialog/samples/report-string projects/bhyve_svm/contrib/dialog/samples/report-tempfile projects/bhyve_svm/contrib/dialog/samples/report-yesno projects/bhyve_svm/contrib/dialog/samples/setup-edit projects/bhyve_svm/contrib/dialog/samples/setup-tempfile projects/bhyve_svm/contrib/dialog/samples/setup-utf8 projects/bhyve_svm/contrib/dialog/samples/setup-vars projects/bhyve_svm/contrib/dialog/samples/slackware.rc projects/bhyve_svm/contrib/dialog/samples/sourcemage.rc projects/bhyve_svm/contrib/dialog/samples/suse.rc projects/bhyve_svm/contrib/dialog/samples/tailboxbg projects/bhyve_svm/contrib/dialog/samples/tailboxbg1 projects/bhyve_svm/contrib/dialog/samples/tailboxbg2 projects/bhyve_svm/contrib/dialog/samples/testdata-8bit projects/bhyve_svm/contrib/dialog/samples/wheel projects/bhyve_svm/contrib/dialog/samples/whiptail.rc projects/bhyve_svm/contrib/dialog/tailbox.c projects/bhyve_svm/contrib/dialog/textbox.c projects/bhyve_svm/contrib/dialog/timebox.c projects/bhyve_svm/contrib/dialog/trace.c projects/bhyve_svm/contrib/dialog/ui_getc.c projects/bhyve_svm/contrib/dialog/util.c projects/bhyve_svm/contrib/dialog/yesno.c projects/bhyve_svm/contrib/gcc/ChangeLog.gcc43 projects/bhyve_svm/contrib/gcc/c-lex.c projects/bhyve_svm/contrib/gcc/config.gcc projects/bhyve_svm/contrib/gcc/config/i386/athlon.md projects/bhyve_svm/contrib/gcc/config/i386/driver-i386.c projects/bhyve_svm/contrib/gcc/config/i386/emmintrin.h projects/bhyve_svm/contrib/gcc/config/i386/i386.c projects/bhyve_svm/contrib/gcc/config/i386/i386.h projects/bhyve_svm/contrib/gcc/config/i386/i386.md projects/bhyve_svm/contrib/gcc/config/i386/i386.opt projects/bhyve_svm/contrib/gcc/config/i386/pmmintrin.h projects/bhyve_svm/contrib/gcc/config/i386/sse.md projects/bhyve_svm/contrib/gcc/config/i386/tmmintrin.h projects/bhyve_svm/contrib/gcc/doc/extend.texi projects/bhyve_svm/contrib/gcc/doc/invoke.texi projects/bhyve_svm/contrib/gcc/gthr-posix95.h projects/bhyve_svm/contrib/gcc/opts.c projects/bhyve_svm/contrib/gcc/unwind-dw2.c projects/bhyve_svm/contrib/gcclibs/libcpp/expr.c projects/bhyve_svm/contrib/gcclibs/libcpp/include/cpplib.h projects/bhyve_svm/contrib/gdb/gdb/dwarf2read.c projects/bhyve_svm/contrib/gdb/gdb/frame-unwind.c projects/bhyve_svm/contrib/gdb/gdb/osabi.c projects/bhyve_svm/contrib/jemalloc/ChangeLog projects/bhyve_svm/contrib/jemalloc/FREEBSD-diffs projects/bhyve_svm/contrib/jemalloc/VERSION projects/bhyve_svm/contrib/jemalloc/doc/jemalloc.3 projects/bhyve_svm/contrib/jemalloc/include/jemalloc/internal/arena.h projects/bhyve_svm/contrib/jemalloc/include/jemalloc/internal/jemalloc_internal.h projects/bhyve_svm/contrib/jemalloc/include/jemalloc/internal/private_namespace.h projects/bhyve_svm/contrib/jemalloc/include/jemalloc/internal/prof.h projects/bhyve_svm/contrib/jemalloc/include/jemalloc/internal/quarantine.h projects/bhyve_svm/contrib/jemalloc/include/jemalloc/internal/tcache.h projects/bhyve_svm/contrib/jemalloc/include/jemalloc/jemalloc.h projects/bhyve_svm/contrib/jemalloc/include/jemalloc/jemalloc_FreeBSD.h projects/bhyve_svm/contrib/jemalloc/src/arena.c projects/bhyve_svm/contrib/jemalloc/src/base.c projects/bhyve_svm/contrib/jemalloc/src/chunk.c projects/bhyve_svm/contrib/jemalloc/src/chunk_dss.c projects/bhyve_svm/contrib/jemalloc/src/jemalloc.c projects/bhyve_svm/contrib/jemalloc/src/prof.c projects/bhyve_svm/contrib/jemalloc/src/quarantine.c projects/bhyve_svm/contrib/jemalloc/src/tcache.c projects/bhyve_svm/contrib/ldns/README projects/bhyve_svm/contrib/ldns/README.svn projects/bhyve_svm/contrib/ldns/compat/b32_ntop.c projects/bhyve_svm/contrib/ldns/compat/b32_pton.c projects/bhyve_svm/contrib/ldns/compat/b64_ntop.c projects/bhyve_svm/contrib/ldns/compat/b64_pton.c projects/bhyve_svm/contrib/ldns/dnssec_sign.c projects/bhyve_svm/contrib/ldns/dnssec_verify.c projects/bhyve_svm/contrib/ldns/dnssec_zone.c projects/bhyve_svm/contrib/ldns/ldns/config.h projects/bhyve_svm/contrib/ldns/ldns/dname.h projects/bhyve_svm/contrib/ldns/ldns/util.h projects/bhyve_svm/contrib/ldns/parse.c projects/bhyve_svm/contrib/ldns/rr.c projects/bhyve_svm/contrib/ldns/util.c projects/bhyve_svm/contrib/ldns/zone.c projects/bhyve_svm/contrib/less/NEWS projects/bhyve_svm/contrib/less/README projects/bhyve_svm/contrib/less/cmdbuf.c projects/bhyve_svm/contrib/less/help.c projects/bhyve_svm/contrib/less/less.h projects/bhyve_svm/contrib/less/less.hlp projects/bhyve_svm/contrib/less/less.nro projects/bhyve_svm/contrib/less/lessecho.nro projects/bhyve_svm/contrib/less/lesskey.nro projects/bhyve_svm/contrib/less/line.c projects/bhyve_svm/contrib/less/option.c projects/bhyve_svm/contrib/less/opttbl.c projects/bhyve_svm/contrib/less/prompt.c projects/bhyve_svm/contrib/less/screen.c projects/bhyve_svm/contrib/less/search.c projects/bhyve_svm/contrib/less/version.c projects/bhyve_svm/contrib/libarchive/libarchive/archive_write_disk_posix.c projects/bhyve_svm/contrib/libarchive/libarchive/test/test_extattr_freebsd.c projects/bhyve_svm/contrib/libc++/CREDITS.TXT projects/bhyve_svm/contrib/libc++/LICENSE.TXT projects/bhyve_svm/contrib/libc++/include/__bit_reference projects/bhyve_svm/contrib/libc++/include/__config projects/bhyve_svm/contrib/libc++/include/__debug projects/bhyve_svm/contrib/libc++/include/__functional_03 projects/bhyve_svm/contrib/libc++/include/__functional_base projects/bhyve_svm/contrib/libc++/include/__functional_base_03 projects/bhyve_svm/contrib/libc++/include/__hash_table projects/bhyve_svm/contrib/libc++/include/__locale projects/bhyve_svm/contrib/libc++/include/__mutex_base projects/bhyve_svm/contrib/libc++/include/__split_buffer projects/bhyve_svm/contrib/libc++/include/__std_stream projects/bhyve_svm/contrib/libc++/include/__tree projects/bhyve_svm/contrib/libc++/include/__tuple projects/bhyve_svm/contrib/libc++/include/__tuple_03 projects/bhyve_svm/contrib/libc++/include/algorithm projects/bhyve_svm/contrib/libc++/include/array projects/bhyve_svm/contrib/libc++/include/atomic projects/bhyve_svm/contrib/libc++/include/bitset projects/bhyve_svm/contrib/libc++/include/chrono projects/bhyve_svm/contrib/libc++/include/codecvt projects/bhyve_svm/contrib/libc++/include/complex projects/bhyve_svm/contrib/libc++/include/condition_variable projects/bhyve_svm/contrib/libc++/include/cstddef projects/bhyve_svm/contrib/libc++/include/cstring projects/bhyve_svm/contrib/libc++/include/cwchar projects/bhyve_svm/contrib/libc++/include/deque projects/bhyve_svm/contrib/libc++/include/exception projects/bhyve_svm/contrib/libc++/include/ext/__hash projects/bhyve_svm/contrib/libc++/include/ext/hash_map projects/bhyve_svm/contrib/libc++/include/ext/hash_set projects/bhyve_svm/contrib/libc++/include/forward_list projects/bhyve_svm/contrib/libc++/include/fstream projects/bhyve_svm/contrib/libc++/include/functional projects/bhyve_svm/contrib/libc++/include/future projects/bhyve_svm/contrib/libc++/include/initializer_list projects/bhyve_svm/contrib/libc++/include/ios projects/bhyve_svm/contrib/libc++/include/iosfwd projects/bhyve_svm/contrib/libc++/include/iostream projects/bhyve_svm/contrib/libc++/include/istream projects/bhyve_svm/contrib/libc++/include/iterator projects/bhyve_svm/contrib/libc++/include/limits projects/bhyve_svm/contrib/libc++/include/list projects/bhyve_svm/contrib/libc++/include/locale projects/bhyve_svm/contrib/libc++/include/map projects/bhyve_svm/contrib/libc++/include/memory projects/bhyve_svm/contrib/libc++/include/mutex projects/bhyve_svm/contrib/libc++/include/new projects/bhyve_svm/contrib/libc++/include/ostream projects/bhyve_svm/contrib/libc++/include/queue projects/bhyve_svm/contrib/libc++/include/random projects/bhyve_svm/contrib/libc++/include/ratio projects/bhyve_svm/contrib/libc++/include/regex projects/bhyve_svm/contrib/libc++/include/scoped_allocator projects/bhyve_svm/contrib/libc++/include/set projects/bhyve_svm/contrib/libc++/include/sstream projects/bhyve_svm/contrib/libc++/include/stack projects/bhyve_svm/contrib/libc++/include/streambuf projects/bhyve_svm/contrib/libc++/include/string projects/bhyve_svm/contrib/libc++/include/strstream projects/bhyve_svm/contrib/libc++/include/system_error projects/bhyve_svm/contrib/libc++/include/thread projects/bhyve_svm/contrib/libc++/include/tuple projects/bhyve_svm/contrib/libc++/include/type_traits projects/bhyve_svm/contrib/libc++/include/typeindex projects/bhyve_svm/contrib/libc++/include/unordered_map projects/bhyve_svm/contrib/libc++/include/unordered_set projects/bhyve_svm/contrib/libc++/include/utility projects/bhyve_svm/contrib/libc++/include/valarray projects/bhyve_svm/contrib/libc++/include/vector projects/bhyve_svm/contrib/libc++/src/chrono.cpp projects/bhyve_svm/contrib/libc++/src/debug.cpp projects/bhyve_svm/contrib/libc++/src/exception.cpp projects/bhyve_svm/contrib/libc++/src/hash.cpp projects/bhyve_svm/contrib/libc++/src/iostream.cpp projects/bhyve_svm/contrib/libc++/src/locale.cpp projects/bhyve_svm/contrib/libc++/src/memory.cpp projects/bhyve_svm/contrib/libc++/src/new.cpp projects/bhyve_svm/contrib/libc++/src/stdexcept.cpp projects/bhyve_svm/contrib/libc++/src/string.cpp projects/bhyve_svm/contrib/libc++/src/strstream.cpp projects/bhyve_svm/contrib/libc++/src/system_error.cpp projects/bhyve_svm/contrib/libc++/src/thread.cpp projects/bhyve_svm/contrib/libc++/src/typeinfo.cpp projects/bhyve_svm/contrib/libcxxrt/atomic.h projects/bhyve_svm/contrib/libcxxrt/auxhelper.cc projects/bhyve_svm/contrib/libcxxrt/cxxabi.h projects/bhyve_svm/contrib/libcxxrt/dwarf_eh.h projects/bhyve_svm/contrib/libcxxrt/exception.cc projects/bhyve_svm/contrib/libcxxrt/guard.cc projects/bhyve_svm/contrib/libcxxrt/memory.cc projects/bhyve_svm/contrib/libpcap/CHANGES projects/bhyve_svm/contrib/libpcap/CREDITS projects/bhyve_svm/contrib/libpcap/README projects/bhyve_svm/contrib/libpcap/VERSION projects/bhyve_svm/contrib/libpcap/bpf_image.c projects/bhyve_svm/contrib/libpcap/config.h.in projects/bhyve_svm/contrib/libpcap/configure projects/bhyve_svm/contrib/libpcap/configure.in projects/bhyve_svm/contrib/libpcap/fad-getad.c projects/bhyve_svm/contrib/libpcap/fad-gifc.c projects/bhyve_svm/contrib/libpcap/fad-glifc.c projects/bhyve_svm/contrib/libpcap/fad-sita.c projects/bhyve_svm/contrib/libpcap/gencode.c projects/bhyve_svm/contrib/libpcap/grammar.y projects/bhyve_svm/contrib/libpcap/nametoaddr.c projects/bhyve_svm/contrib/libpcap/optimize.c projects/bhyve_svm/contrib/libpcap/pcap-bpf.c projects/bhyve_svm/contrib/libpcap/pcap-bt-linux.c projects/bhyve_svm/contrib/libpcap/pcap-bt-linux.h projects/bhyve_svm/contrib/libpcap/pcap-can-linux.c projects/bhyve_svm/contrib/libpcap/pcap-can-linux.h projects/bhyve_svm/contrib/libpcap/pcap-canusb-linux.c projects/bhyve_svm/contrib/libpcap/pcap-canusb-linux.h projects/bhyve_svm/contrib/libpcap/pcap-common.c projects/bhyve_svm/contrib/libpcap/pcap-dag.c projects/bhyve_svm/contrib/libpcap/pcap-dag.h projects/bhyve_svm/contrib/libpcap/pcap-dlpi.c projects/bhyve_svm/contrib/libpcap/pcap-filter.manmisc projects/bhyve_svm/contrib/libpcap/pcap-filter.manmisc.in projects/bhyve_svm/contrib/libpcap/pcap-int.h projects/bhyve_svm/contrib/libpcap/pcap-libdlpi.c projects/bhyve_svm/contrib/libpcap/pcap-linux.c projects/bhyve_svm/contrib/libpcap/pcap-netfilter-linux.c projects/bhyve_svm/contrib/libpcap/pcap-netfilter-linux.h projects/bhyve_svm/contrib/libpcap/pcap-nit.c projects/bhyve_svm/contrib/libpcap/pcap-null.c projects/bhyve_svm/contrib/libpcap/pcap-pf.c projects/bhyve_svm/contrib/libpcap/pcap-septel.c projects/bhyve_svm/contrib/libpcap/pcap-septel.h projects/bhyve_svm/contrib/libpcap/pcap-sita.c projects/bhyve_svm/contrib/libpcap/pcap-snf.c projects/bhyve_svm/contrib/libpcap/pcap-snf.h projects/bhyve_svm/contrib/libpcap/pcap-snit.c projects/bhyve_svm/contrib/libpcap/pcap-snoop.c projects/bhyve_svm/contrib/libpcap/pcap-usb-linux.c projects/bhyve_svm/contrib/libpcap/pcap-usb-linux.h projects/bhyve_svm/contrib/libpcap/pcap-win32.c projects/bhyve_svm/contrib/libpcap/pcap.c projects/bhyve_svm/contrib/libpcap/pcap/bpf.h projects/bhyve_svm/contrib/libpcap/pcap/pcap.h projects/bhyve_svm/contrib/libpcap/pcap_breakloop.3pcap projects/bhyve_svm/contrib/libpcap/pcap_loop.3pcap projects/bhyve_svm/contrib/libpcap/pcap_offline_filter.3pcap projects/bhyve_svm/contrib/libpcap/scanner.l projects/bhyve_svm/contrib/libstdc++/include/c_std/std_cmath.h projects/bhyve_svm/contrib/libstdc++/libsupc++/unwind-cxx.h projects/bhyve_svm/contrib/llvm/LICENSE.TXT projects/bhyve_svm/contrib/llvm/include/llvm-c/Core.h projects/bhyve_svm/contrib/llvm/include/llvm-c/Disassembler.h projects/bhyve_svm/contrib/llvm/include/llvm-c/ExecutionEngine.h projects/bhyve_svm/contrib/llvm/include/llvm-c/Initialization.h projects/bhyve_svm/contrib/llvm/include/llvm-c/LinkTimeOptimizer.h projects/bhyve_svm/contrib/llvm/include/llvm-c/Object.h projects/bhyve_svm/contrib/llvm/include/llvm-c/Target.h projects/bhyve_svm/contrib/llvm/include/llvm-c/TargetMachine.h projects/bhyve_svm/contrib/llvm/include/llvm-c/Transforms/PassManagerBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm-c/Transforms/Vectorize.h projects/bhyve_svm/contrib/llvm/include/llvm-c/lto.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/APFloat.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/APInt.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/APSInt.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ArrayRef.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/BitVector.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/DAGDeltaAlgorithm.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/DeltaAlgorithm.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/DenseMap.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/DenseSet.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/DepthFirstIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/FoldingSet.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/Hashing.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ImmutableIntervalMap.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ImmutableList.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ImmutableMap.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ImmutableSet.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/IntervalMap.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/IntrusiveRefCntPtr.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/MapVector.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/NullablePtr.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/Optional.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/OwningPtr.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/PointerIntPair.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/PointerUnion.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/PostOrderIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/PriorityQueue.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SCCIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/STLExtras.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SmallBitVector.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SmallPtrSet.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SmallSet.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SmallString.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SmallVector.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/SparseSet.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/Statistic.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/StringExtras.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/StringMap.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/StringRef.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/StringSet.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/TinyPtrVector.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/Triple.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/Twine.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ValueMap.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/VariadicFunction.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ilist.h projects/bhyve_svm/contrib/llvm/include/llvm/ADT/ilist_node.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/AliasAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/AliasSetTracker.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/BlockFrequencyImpl.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/BranchProbabilityInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/CFGPrinter.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/CallGraph.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/CaptureTracking.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/CodeMetrics.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/DOTGraphTraitsPass.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/DependenceAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/DominatorInternals.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/Dominators.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/IVUsers.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/InlineCost.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/InstructionSimplify.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/Interval.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/IntervalIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/IntervalPartition.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/LibCallAliasAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/Loads.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/LoopInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/LoopInfoImpl.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/LoopIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/LoopPass.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/MemoryBuiltins.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/MemoryDependenceAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/PHITransAddr.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/Passes.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/PathNumbering.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/PathProfileInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/PostDominators.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ProfileDataLoader.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ProfileInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ProfileInfoLoader.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/RegionInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/RegionIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/RegionPass.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ScalarEvolution.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ScalarEvolutionExpander.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ScalarEvolutionExpressions.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ScalarEvolutionNormalization.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/SparsePropagation.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/Trace.h projects/bhyve_svm/contrib/llvm/include/llvm/Analysis/ValueTracking.h projects/bhyve_svm/contrib/llvm/include/llvm/Assembly/PrintModulePass.h projects/bhyve_svm/contrib/llvm/include/llvm/Bitcode/Archive.h projects/bhyve_svm/contrib/llvm/include/llvm/Bitcode/BitCodes.h projects/bhyve_svm/contrib/llvm/include/llvm/Bitcode/BitstreamReader.h projects/bhyve_svm/contrib/llvm/include/llvm/Bitcode/BitstreamWriter.h projects/bhyve_svm/contrib/llvm/include/llvm/Bitcode/LLVMBitCodes.h projects/bhyve_svm/contrib/llvm/include/llvm/Bitcode/ReaderWriter.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/Analysis.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/AsmPrinter.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/CalcSpillWeights.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/CallingConvLower.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/CommandFlags.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/DFAPacketizer.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/FastISel.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/FunctionLoweringInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/GCMetadata.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/GCs.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ISDOpcodes.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/IntrinsicLowering.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/JITCodeEmitter.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LatencyPriorityQueue.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LexicalScopes.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LinkAllAsmWriterComponents.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LinkAllCodegenComponents.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LiveInterval.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LiveIntervalAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LiveRangeEdit.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LiveStackAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/LiveVariables.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachORelocation.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineBasicBlock.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineBranchProbabilityInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineCodeEmitter.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineCodeInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineDominators.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineFrameInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineFunction.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineFunctionAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineFunctionPass.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineInstr.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineInstrBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineInstrBundle.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineJumpTableInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineLoopInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineMemOperand.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineModuleInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineOperand.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachinePostDominators.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineRegisterInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/MachineScheduler.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/PBQP/Graph.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/PBQP/HeuristicSolver.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/PBQP/Heuristics/Briggs.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/PBQP/Math.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/PBQP/Solution.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/Passes.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/PseudoSourceValue.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/RegAllocPBQP.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/RegAllocRegistry.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/RegisterClassInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/RegisterPressure.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/RegisterScavenging.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ResourcePriorityQueue.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/RuntimeLibcalls.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ScheduleDAG.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ScheduleDAGInstrs.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/SchedulerRegistry.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ScoreboardHazardRecognizer.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/SelectionDAG.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/SelectionDAGISel.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/SelectionDAGNodes.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/SlotIndexes.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/TargetLoweringObjectFileImpl.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/TargetSchedule.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ValueTypes.h projects/bhyve_svm/contrib/llvm/include/llvm/CodeGen/ValueTypes.td projects/bhyve_svm/contrib/llvm/include/llvm/DIBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm/DebugInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/DebugInfo/DIContext.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/ExecutionEngine.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/GenericValue.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/Interpreter.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/JIT.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/JITEventListener.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/JITMemoryManager.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/MCJIT.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/OProfileWrapper.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/ObjectBuffer.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/ObjectImage.h projects/bhyve_svm/contrib/llvm/include/llvm/ExecutionEngine/RuntimeDyld.h projects/bhyve_svm/contrib/llvm/include/llvm/GVMaterializer.h projects/bhyve_svm/contrib/llvm/include/llvm/InitializePasses.h projects/bhyve_svm/contrib/llvm/include/llvm/LinkAllPasses.h projects/bhyve_svm/contrib/llvm/include/llvm/Linker.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCAsmBackend.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCAsmInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCAsmInfoCOFF.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCAsmInfoDarwin.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCAsmLayout.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCAssembler.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCAtom.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCCodeEmitter.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCContext.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCDisassembler.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCDwarf.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCELFObjectWriter.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCExpr.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCFixedLenDisassembler.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCInst.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCInstPrinter.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCInstrDesc.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCMachObjectWriter.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCObjectFileInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCObjectStreamer.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCObjectWriter.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCParser/AsmCond.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCParser/AsmLexer.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCParser/MCAsmLexer.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCParser/MCAsmParser.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCParser/MCAsmParserExtension.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCParser/MCParsedAsmOperand.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCRegisterInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCSchedule.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCSection.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCSectionCOFF.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCSectionELF.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCSectionMachO.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCStreamer.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCSubtargetInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCTargetAsmParser.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCValue.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MCWinCOFFObjectWriter.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/MachineLocation.h projects/bhyve_svm/contrib/llvm/include/llvm/MC/SubtargetFeature.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/Archive.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/Binary.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/ELF.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/MachO.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/MachOFormat.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/ObjectFile.h projects/bhyve_svm/contrib/llvm/include/llvm/Object/RelocVisitor.h projects/bhyve_svm/contrib/llvm/include/llvm/Pass.h projects/bhyve_svm/contrib/llvm/include/llvm/PassAnalysisSupport.h projects/bhyve_svm/contrib/llvm/include/llvm/PassManager.h projects/bhyve_svm/contrib/llvm/include/llvm/PassManagers.h projects/bhyve_svm/contrib/llvm/include/llvm/PassRegistry.h projects/bhyve_svm/contrib/llvm/include/llvm/PassSupport.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/AlignOf.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Allocator.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Atomic.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/CFG.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/COFF.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/CallSite.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Casting.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/CodeGen.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/CommandLine.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Compiler.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ConstantFolder.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ConstantRange.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/DOTGraphTraits.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/DataExtractor.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/DataFlow.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/DataStream.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/DebugLoc.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Dwarf.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/DynamicLibrary.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ELF.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Endian.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Errno.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ErrorHandling.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/FEnv.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/FileOutputBuffer.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/FileSystem.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/FormattedStream.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/GCOV.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/GetElementPtrTypeIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/GraphWriter.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Host.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/IncludeFile.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/InstIterator.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/IntegersSubset.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/IntegersSubsetMapping.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/LEB128.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Locale.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/LockFileManager.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/MathExtras.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Memory.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/MemoryBuffer.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/MemoryObject.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Mutex.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/NoFolder.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/PassNameParser.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/PathV1.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/PatternMatch.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/PredIteratorCache.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Process.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Program.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Recycler.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Regex.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/RegistryParser.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/SMLoc.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/SaveAndRestore.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Signals.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Solaris.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/SourceMgr.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/StreamableMemoryObject.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/StringPool.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/SwapByteOrder.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/TargetFolder.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/TargetRegistry.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ThreadLocal.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Threading.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/TimeValue.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Timer.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ToolOutputFile.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Valgrind.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/ValueHandle.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/Win64EH.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/YAMLParser.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/circular_raw_ostream.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/raw_ostream.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/system_error.h projects/bhyve_svm/contrib/llvm/include/llvm/Support/type_traits.h projects/bhyve_svm/contrib/llvm/include/llvm/TableGen/Error.h projects/bhyve_svm/contrib/llvm/include/llvm/TableGen/Record.h projects/bhyve_svm/contrib/llvm/include/llvm/TableGen/StringMatcher.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/Mangler.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/Target.td projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetCallingConv.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetFrameLowering.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetInstrInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetJITInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetLibraryInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetLowering.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetLoweringObjectFile.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetMachine.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetOptions.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetRegisterInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetSchedule.td projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetSelectionDAG.td projects/bhyve_svm/contrib/llvm/include/llvm/Target/TargetSubtargetInfo.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/IPO.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/IPO/InlinerPass.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/IPO/PassManagerBuilder.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Instrumentation.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Scalar.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/BasicBlockUtils.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/BuildLibCalls.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/BypassSlowDivision.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/Cloning.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/CmpInstAnalysis.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/IntegerDivision.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/Local.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/ModuleUtils.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/PromoteMemToReg.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/SSAUpdater.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Utils/SimplifyLibCalls.h projects/bhyve_svm/contrib/llvm/include/llvm/Transforms/Vectorize.h projects/bhyve_svm/contrib/llvm/lib/Analysis/AliasAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/AliasAnalysisCounter.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/AliasAnalysisEvaluator.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/AliasDebugger.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/AliasSetTracker.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/Analysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/BasicAliasAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/BlockFrequencyInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/BranchProbabilityInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/CFGPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/CaptureTracking.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/CodeMetrics.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ConstantFolding.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/CostModel.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/DependenceAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/DominanceFrontier.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/IPA/CallGraph.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/IPA/CallGraphSCCPass.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/IPA/FindUsedTypes.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/IPA/GlobalsModRef.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/IPA/IPA.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/IVUsers.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/InstCount.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/InstructionSimplify.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/Interval.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/LazyValueInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/LibCallAliasAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/LibCallSemantics.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/Lint.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/Loads.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/LoopInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/MemDepPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/MemoryBuiltins.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/MemoryDependenceAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ModuleDebugInfoPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/NoAliasAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/PHITransAddr.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/PathNumbering.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/PathProfileInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/PathProfileVerifier.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/PostDominators.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ProfileDataLoader.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ProfileDataLoaderPass.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ProfileEstimatorPass.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ProfileInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ProfileInfoLoader.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ProfileInfoLoaderPass.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ProfileVerifierPass.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/RegionInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/RegionPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ScalarEvolution.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ScalarEvolutionAliasAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ScalarEvolutionExpander.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/SparsePropagation.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/Trace.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/TypeBasedAliasAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Analysis/ValueTracking.cpp projects/bhyve_svm/contrib/llvm/lib/Archive/Archive.cpp projects/bhyve_svm/contrib/llvm/lib/Archive/ArchiveInternals.h projects/bhyve_svm/contrib/llvm/lib/Archive/ArchiveReader.cpp projects/bhyve_svm/contrib/llvm/lib/Archive/ArchiveWriter.cpp projects/bhyve_svm/contrib/llvm/lib/AsmParser/LLLexer.cpp projects/bhyve_svm/contrib/llvm/lib/AsmParser/LLLexer.h projects/bhyve_svm/contrib/llvm/lib/AsmParser/LLParser.cpp projects/bhyve_svm/contrib/llvm/lib/AsmParser/LLParser.h projects/bhyve_svm/contrib/llvm/lib/AsmParser/LLToken.h projects/bhyve_svm/contrib/llvm/lib/AsmParser/Parser.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Reader/BitReader.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Reader/BitcodeReader.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Reader/BitcodeReader.h projects/bhyve_svm/contrib/llvm/lib/Bitcode/Writer/BitWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Writer/BitcodeWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Writer/BitcodeWriterPass.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Writer/ValueEnumerator.cpp projects/bhyve_svm/contrib/llvm/lib/Bitcode/Writer/ValueEnumerator.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/AggressiveAntiDepBreaker.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AggressiveAntiDepBreaker.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/AllocationOrder.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AllocationOrder.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/Analysis.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/ARMException.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/AsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/AsmPrinterDwarf.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/AsmPrinterInlineAsm.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DIE.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DIE.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfAccelTable.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfAccelTable.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfCFIException.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfCompileUnit.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfCompileUnit.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfDebug.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfDebug.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfException.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/DwarfException.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/OcamlGCPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/AsmPrinter/Win64Exception.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/BranchFolding.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/CalcSpillWeights.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/CallingConvLower.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/CodeGen.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/CriticalAntiDepBreaker.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/CriticalAntiDepBreaker.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/DFAPacketizer.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/DeadMachineInstructionElim.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/DwarfEHPrepare.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/EarlyIfConversion.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ExecutionDepsFix.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ExpandISelPseudos.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ExpandPostRAPseudos.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/GCMetadata.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/GCStrategy.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/IfConversion.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/InlineSpiller.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/InterferenceCache.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/InterferenceCache.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/IntrinsicLowering.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LLVMTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LexicalScopes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveDebugVariables.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveInterval.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveIntervalAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveIntervalUnion.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveRangeCalc.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveRangeCalc.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveRangeEdit.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveRegMatrix.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveStackAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LiveVariables.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/LocalStackSlotAllocation.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineBasicBlock.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineBlockFrequencyInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineBlockPlacement.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineBranchProbabilityInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineCSE.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineCopyPropagation.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineFunction.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineFunctionPass.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineFunctionPrinterPass.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineInstr.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineInstrBundle.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineLICM.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineLoopInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineModuleInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineSSAUpdater.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineScheduler.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineSink.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineTraceMetrics.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/MachineVerifier.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/OptimizePHIs.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/PHIElimination.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/PHIEliminationUtils.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/Passes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/PeepholeOptimizer.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/PostRASchedulerList.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/PrologEpilogInserter.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/PrologEpilogInserter.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/PseudoSourceValue.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegAllocBase.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegAllocBase.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegAllocBasic.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegAllocFast.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegAllocGreedy.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegAllocPBQP.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegisterClassInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegisterCoalescer.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegisterPressure.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/RegisterScavenging.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ScheduleDAG.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ScheduleDAGInstrs.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ScheduleDAGPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/DAGCombiner.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/FastISel.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/FunctionLoweringInfo.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/InstrEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/InstrEmitter.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeDAG.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeFloatTypes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeIntegerTypes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeTypes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeTypes.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeTypesGeneric.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeVectorOps.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/LegalizeVectorTypes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/ResourcePriorityQueue.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SDNodeDbgValue.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SDNodeOrdering.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/ScheduleDAGFast.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/ScheduleDAGRRList.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/ScheduleDAGSDNodes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/ScheduleDAGSDNodes.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/ScheduleDAGVLIW.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAG.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGBuilder.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGBuilder.h projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGDumper.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGISel.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/SelectionDAGPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SelectionDAG/TargetLowering.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ShadowStackGC.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/ShrinkWrapping.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SjLjEHPrepare.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SlotIndexes.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SpillPlacement.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/Spiller.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/SplitKit.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/StackColoring.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/StackProtector.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/StackSlotColoring.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/StrongPHIElimination.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TailDuplication.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetFrameLoweringImpl.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetLoweringObjectFileImpl.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetOptionsImpl.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TargetSchedule.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/TwoAddressInstructionPass.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/UnreachableBlockElim.cpp projects/bhyve_svm/contrib/llvm/lib/CodeGen/VirtRegMap.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DIContext.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFAbbreviationDeclaration.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFAbbreviationDeclaration.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFCompileUnit.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFCompileUnit.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFContext.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFContext.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugArangeSet.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugArangeSet.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugAranges.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugAranges.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugInfoEntry.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugInfoEntry.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugLine.cpp projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFDebugLine.h projects/bhyve_svm/contrib/llvm/lib/DebugInfo/DWARFFormValue.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/EventListenerCommon.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/ExecutionEngine.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/ExecutionEngineBindings.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/IntelJITEvents/IntelJITEventListener.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/IntelJITEvents/IntelJITEventsWrapper.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/Interpreter/Execution.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/Interpreter/ExternalFunctions.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/Interpreter/Interpreter.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/Interpreter/Interpreter.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/JIT/JIT.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/JIT/JITDwarfEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/JIT/JITDwarfEmitter.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/JIT/JITEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/JIT/JITMemoryManager.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/MCJIT/MCJIT.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/MCJIT/MCJIT.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/OProfileJIT/OProfileJITEventListener.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/OProfileJIT/OProfileWrapper.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/GDBRegistrar.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/ObjectImageCommon.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/RuntimeDyld.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/RuntimeDyldELF.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/RuntimeDyldELF.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/RuntimeDyldImpl.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/RuntimeDyldMachO.cpp projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/RuntimeDyld/RuntimeDyldMachO.h projects/bhyve_svm/contrib/llvm/lib/ExecutionEngine/TargetSelect.cpp projects/bhyve_svm/contrib/llvm/lib/Linker/LinkModules.cpp projects/bhyve_svm/contrib/llvm/lib/MC/ELFObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCAsmInfoCOFF.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCAsmStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCAssembler.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCContext.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/Disassembler.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCDisassembler/Disassembler.h projects/bhyve_svm/contrib/llvm/lib/MC/MCDwarf.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCELF.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCELFObjectTargetWriter.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCELFStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCExpr.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCMachOStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCNullStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCObjectFileInfo.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCObjectStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCParser/AsmLexer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCParser/AsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCParser/COFFAsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCParser/DarwinAsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCParser/ELFAsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCParser/MCAsmLexer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCParser/MCAsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCPureStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCSection.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCSectionCOFF.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCSectionELF.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCSectionMachO.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCSubtargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MCWin64EH.cpp projects/bhyve_svm/contrib/llvm/lib/MC/MachObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/MC/WinCOFFObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/MC/WinCOFFStreamer.cpp projects/bhyve_svm/contrib/llvm/lib/Object/Archive.cpp projects/bhyve_svm/contrib/llvm/lib/Object/COFFObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Object/ELFObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Object/MachOObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Object/Object.cpp projects/bhyve_svm/contrib/llvm/lib/Object/ObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Support/APFloat.cpp projects/bhyve_svm/contrib/llvm/lib/Support/APInt.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Allocator.cpp projects/bhyve_svm/contrib/llvm/lib/Support/CommandLine.cpp projects/bhyve_svm/contrib/llvm/lib/Support/ConstantRange.cpp projects/bhyve_svm/contrib/llvm/lib/Support/CrashRecoveryContext.cpp projects/bhyve_svm/contrib/llvm/lib/Support/DataExtractor.cpp projects/bhyve_svm/contrib/llvm/lib/Support/DataStream.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Debug.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Disassembler.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Dwarf.cpp projects/bhyve_svm/contrib/llvm/lib/Support/DynamicLibrary.cpp projects/bhyve_svm/contrib/llvm/lib/Support/ErrorHandling.cpp projects/bhyve_svm/contrib/llvm/lib/Support/FileOutputBuffer.cpp projects/bhyve_svm/contrib/llvm/lib/Support/FileUtilities.cpp projects/bhyve_svm/contrib/llvm/lib/Support/FoldingSet.cpp projects/bhyve_svm/contrib/llvm/lib/Support/GraphWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Host.cpp projects/bhyve_svm/contrib/llvm/lib/Support/LocaleWindows.inc projects/bhyve_svm/contrib/llvm/lib/Support/LocaleXlocale.inc projects/bhyve_svm/contrib/llvm/lib/Support/LockFileManager.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Memory.cpp projects/bhyve_svm/contrib/llvm/lib/Support/MemoryBuffer.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Path.cpp projects/bhyve_svm/contrib/llvm/lib/Support/PathV2.cpp projects/bhyve_svm/contrib/llvm/lib/Support/PluginLoader.cpp projects/bhyve_svm/contrib/llvm/lib/Support/PrettyStackTrace.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Process.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Program.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Regex.cpp projects/bhyve_svm/contrib/llvm/lib/Support/SmallPtrSet.cpp projects/bhyve_svm/contrib/llvm/lib/Support/SourceMgr.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Statistic.cpp projects/bhyve_svm/contrib/llvm/lib/Support/StringRef.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Threading.cpp projects/bhyve_svm/contrib/llvm/lib/Support/TimeValue.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Timer.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Triple.cpp projects/bhyve_svm/contrib/llvm/lib/Support/Unix/Memory.inc projects/bhyve_svm/contrib/llvm/lib/Support/Unix/PathV2.inc projects/bhyve_svm/contrib/llvm/lib/Support/Unix/Process.inc projects/bhyve_svm/contrib/llvm/lib/Support/Unix/Program.inc projects/bhyve_svm/contrib/llvm/lib/Support/Unix/Signals.inc projects/bhyve_svm/contrib/llvm/lib/Support/Unix/TimeValue.inc projects/bhyve_svm/contrib/llvm/lib/Support/Unix/Unix.h projects/bhyve_svm/contrib/llvm/lib/Support/Windows/Memory.inc projects/bhyve_svm/contrib/llvm/lib/Support/Windows/Path.inc projects/bhyve_svm/contrib/llvm/lib/Support/Windows/PathV2.inc projects/bhyve_svm/contrib/llvm/lib/Support/Windows/Process.inc projects/bhyve_svm/contrib/llvm/lib/Support/Windows/Program.inc projects/bhyve_svm/contrib/llvm/lib/Support/Windows/Signals.inc projects/bhyve_svm/contrib/llvm/lib/Support/YAMLParser.cpp projects/bhyve_svm/contrib/llvm/lib/Support/raw_ostream.cpp projects/bhyve_svm/contrib/llvm/lib/Support/regcomp.c projects/bhyve_svm/contrib/llvm/lib/Support/system_error.cpp projects/bhyve_svm/contrib/llvm/lib/TableGen/Error.cpp projects/bhyve_svm/contrib/llvm/lib/TableGen/Main.cpp projects/bhyve_svm/contrib/llvm/lib/TableGen/Record.cpp projects/bhyve_svm/contrib/llvm/lib/TableGen/TGLexer.cpp projects/bhyve_svm/contrib/llvm/lib/TableGen/TGLexer.h projects/bhyve_svm/contrib/llvm/lib/TableGen/TGParser.cpp projects/bhyve_svm/contrib/llvm/lib/TableGen/TGParser.h projects/bhyve_svm/contrib/llvm/lib/TableGen/TableGenBackend.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARM.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARM.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMAsmPrinter.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMBaseInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMBaseInstrInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMBaseRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMBaseRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMCallingConv.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMCallingConv.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMConstantIslandPass.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMConstantPoolValue.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMConstantPoolValue.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMExpandPseudoInsts.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMFastISel.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMInstrNEON.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMInstrThumb2.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMJITInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMJITInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMLoadStoreOptimizer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMMCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMMachineFunctionInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMSchedule.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMScheduleA9.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMScheduleSwift.td projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMSelectionDAGInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMSubtarget.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMTargetObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/ARMTargetObjectFile.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/AsmParser/ARMAsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Disassembler/ARMDisassembler.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/InstPrinter/ARMInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/InstPrinter/ARMInstPrinter.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMAsmBackend.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMELFObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMMCCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMMCExpr.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMMCExpr.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MCTargetDesc/ARMMachObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/MLxExpansionPass.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/TargetInfo/ARMTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb1FrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb1FrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb1InstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb1RegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb1RegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb2ITBlockPass.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb2InstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb2RegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/ARM/Thumb2SizeReduction.cpp projects/bhyve_svm/contrib/llvm/lib/Target/CppBackend/CPPBackend.cpp projects/bhyve_svm/contrib/llvm/lib/Target/CppBackend/CPPTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/CppBackend/TargetInfo/CppBackendTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/Hexagon.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/Hexagon.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonCFGOptimizer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonCallingConvLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonCallingConvLower.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonExpandPredSpillCode.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonHardwareLoops.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonInstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonInstrFormatsV4.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonInstrInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonInstrInfoV3.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonInstrInfoV4.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonMCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonMachineFunctionInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonMachineScheduler.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonMachineScheduler.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonNewValueJump.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonPeephole.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonRemoveSZExtArgs.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonSchedule.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonScheduleV4.td projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonSplitTFRCondSets.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonSubtarget.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonTargetObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/HexagonVLIWPacketizer.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/InstPrinter/HexagonInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/InstPrinter/HexagonInstPrinter.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/MCTargetDesc/HexagonBaseInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/MCTargetDesc/HexagonMCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/MCTargetDesc/HexagonMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Hexagon/TargetInfo/HexagonTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/AsmParser/MBlazeAsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/Disassembler/MBlazeDisassembler.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/Disassembler/MBlazeDisassembler.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/InstPrinter/MBlazeInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeDelaySlotFiller.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeIntrinsicInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeMCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeMachineFunction.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MBlazeTargetObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MCTargetDesc/MBlazeAsmBackend.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MCTargetDesc/MBlazeMCCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/MCTargetDesc/MBlazeMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MBlaze/TargetInfo/MBlazeTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/InstPrinter/MSP430InstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MCTargetDesc/MSP430MCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MCTargetDesc/MSP430MCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430AsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430BranchSelector.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430CallingConv.td projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430FrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430FrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430ISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430ISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430ISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430InstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430InstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430MCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430MachineFunctionInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430RegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430RegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430TargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/MSP430TargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/MSP430/TargetInfo/MSP430TargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mangler.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/AsmParser/MipsAsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Disassembler/MipsDisassembler.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/InstPrinter/MipsInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/InstPrinter/MipsInstPrinter.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsAsmBackend.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsBaseInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsELFObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsMCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsMCCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MCTargetDesc/MipsMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16FrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16FrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16InstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16InstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16InstrInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16InstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16RegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips16RegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/Mips64InstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsAsmPrinter.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsCallingConv.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsCondMov.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsDSPInstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsDSPInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsDelaySlotFiller.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsInstrFPU.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsInstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsInstrInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsJITInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsLongBranch.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsMCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsMachineFunction.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsMachineFunction.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsRegisterInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSEInstrInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSERegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSERegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSubtarget.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsTargetObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Mips/MipsTargetObjectFile.h projects/bhyve_svm/contrib/llvm/lib/Target/Mips/TargetInfo/MipsTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/MCTargetDesc/NVPTXBaseInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/MCTargetDesc/NVPTXMCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/MCTargetDesc/NVPTXMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/ManagedStringPool.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTX.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTX.td projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXAllocaHoisting.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXAllocaHoisting.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXAsmPrinter.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXISelDAGToDAG.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXInstrInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXIntrinsics.td projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXLowerAggrCopies.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXLowerAggrCopies.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXNumRegisters.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXRegisterInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXSection.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXSplitBBatBar.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXSplitBBatBar.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXSubtarget.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXTargetObjectFile.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXUtilities.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXUtilities.h projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/NVPTXutil.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/TargetInfo/NVPTXTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/NVPTX/cl_common_defines.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/InstPrinter/PPCInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCAsmBackend.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCELFObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCFixupKinds.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCMCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCMCCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCMCTargetDesc.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCPredicates.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/MCTargetDesc/PPCPredicates.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPC.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPC.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCBranchSelector.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCCTRLoops.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCCallingConv.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCHazardRecognizers.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCInstr64Bit.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCInstrAltivec.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCInstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCInstrInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCJITInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCJITInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCMCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCMachineFunctionInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCRegisterInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCScheduleA2.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCScheduleG5.td projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCSubtarget.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/PPCTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/PowerPC/TargetInfo/PowerPCTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/DelaySlotFiller.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/FPMover.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/MCTargetDesc/SparcMCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/MCTargetDesc/SparcMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcCallingConv.td projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcInstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcRegisterInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/SparcTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/Sparc/TargetInfo/SparcTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/Target.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetIntrinsicInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetLibraryInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetLoweringObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetMachineC.cpp projects/bhyve_svm/contrib/llvm/lib/Target/TargetSubtargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/AsmParser/X86AsmParser.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/Disassembler/X86Disassembler.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/Disassembler/X86Disassembler.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/Disassembler/X86DisassemblerDecoder.c projects/bhyve_svm/contrib/llvm/lib/Target/X86/InstPrinter/X86ATTInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/InstPrinter/X86InstComments.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/InstPrinter/X86IntelInstPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/MCTargetDesc/X86AsmBackend.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/MCTargetDesc/X86BaseInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/MCTargetDesc/X86MCAsmInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/MCTargetDesc/X86MCCodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/MCTargetDesc/X86MCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/MCTargetDesc/X86MachObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/MCTargetDesc/X86WinCOFFObjectWriter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/TargetInfo/X86TargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/Utils/X86ShuffleDecode.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/Utils/X86ShuffleDecode.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86AsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86AsmPrinter.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86COFFMachineModuleInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86CallingConv.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86CodeEmitter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86FastISel.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86FloatingPoint.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86FrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86FrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86ISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86ISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86ISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86Instr3DNow.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrArithmetic.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrCMovSetCC.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrCompiler.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrControl.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrExtension.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrFMA.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrFPStack.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrFragmentsSIMD.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrMMX.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrSSE.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrShiftRotate.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrSystem.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86InstrTSX.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86JITInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86JITInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86MCInstLower.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86RegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86RegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86Schedule.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86ScheduleAtom.td projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86SelectionDAGInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86Subtarget.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86Subtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86TargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86TargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86TargetObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86TargetObjectFile.h projects/bhyve_svm/contrib/llvm/lib/Target/X86/X86VZeroUpper.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/MCTargetDesc/XCoreMCTargetDesc.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/TargetInfo/XCoreTargetInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCore.h projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCore.td projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreAsmPrinter.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreFrameLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreFrameLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreISelDAGToDAG.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreISelLowering.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreISelLowering.h projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreInstrFormats.td projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreInstrInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreInstrInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreMachineFunctionInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreRegisterInfo.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreRegisterInfo.h projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreRegisterInfo.td projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreSubtarget.h projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreTargetMachine.cpp projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreTargetMachine.h projects/bhyve_svm/contrib/llvm/lib/Target/XCore/XCoreTargetObjectFile.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/ArgumentPromotion.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/ConstantMerge.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/DeadArgumentElimination.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/ExtractGV.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/FunctionAttrs.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/GlobalDCE.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/GlobalOpt.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/IPConstantPropagation.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/InlineAlways.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/InlineSimple.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/Inliner.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/Internalize.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/LoopExtractor.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/MergeFunctions.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/PartialInlining.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/PassManagerBuilder.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/PruneEH.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/StripDeadPrototypes.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/IPO/StripSymbols.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombine.h projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineAddSub.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineAndOrXor.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineCalls.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineCasts.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineCompares.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineLoadStoreAlloca.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineMulDivRem.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombinePHI.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineSelect.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineShifts.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineSimplifyDemanded.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineVectorOps.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstCombineWorklist.h projects/bhyve_svm/contrib/llvm/lib/Transforms/InstCombine/InstructionCombining.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/AddressSanitizer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/BlackList.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/BoundsChecking.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/EdgeProfiling.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/GCOVProfiling.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/Instrumentation.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/MaximumSpanningTree.h projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/OptimalEdgeProfiling.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/PathProfiling.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/ProfilingUtils.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Instrumentation/ThreadSanitizer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/ADCE.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/BasicBlockPlacement.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/CodeGenPrepare.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/ConstantProp.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/CorrelatedValuePropagation.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/DCE.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/DeadStoreElimination.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/EarlyCSE.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/GVN.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/GlobalMerge.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/IndVarSimplify.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/JumpThreading.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LICM.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LoopDeletion.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LoopIdiomRecognize.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LoopInstSimplify.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LoopRotation.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LoopStrengthReduce.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LoopUnrollPass.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LoopUnswitch.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/LowerAtomic.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/MemCpyOptimizer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/Reassociate.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/Reg2Mem.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/SCCP.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/SROA.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/Scalar.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/ScalarReplAggregates.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/SimplifyCFGPass.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/SimplifyLibCalls.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/Sink.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Scalar/TailRecursionElimination.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/BasicBlockUtils.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/BreakCriticalEdges.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/BuildLibCalls.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/BypassSlowDivision.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/CloneFunction.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/CloneModule.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/CmpInstAnalysis.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/CodeExtractor.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/DemoteRegToStack.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/InlineFunction.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/InstructionNamer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/IntegerDivision.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/LCSSA.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/Local.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/LoopSimplify.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/LoopUnroll.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/LoopUnrollRuntime.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/LowerExpectIntrinsic.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/LowerInvoke.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/LowerSwitch.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/Mem2Reg.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/MetaRenamer.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/ModuleUtils.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/PromoteMemoryToRegister.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/SSAUpdater.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/SimplifyCFG.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/SimplifyIndVar.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/SimplifyInstructions.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/SimplifyLibCalls.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/UnifyFunctionExitNodes.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/Utils.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Utils/ValueMapper.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Vectorize/BBVectorize.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Vectorize/LoopVectorize.cpp projects/bhyve_svm/contrib/llvm/lib/Transforms/Vectorize/Vectorize.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/BugDriver.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/BugDriver.h projects/bhyve_svm/contrib/llvm/tools/bugpoint/CrashDebugger.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/ExecutionDriver.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/ExtractFunction.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/ListReducer.h projects/bhyve_svm/contrib/llvm/tools/bugpoint/Miscompilation.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/OptimizerDriver.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/ToolRunner.cpp projects/bhyve_svm/contrib/llvm/tools/bugpoint/ToolRunner.h projects/bhyve_svm/contrib/llvm/tools/bugpoint/bugpoint.cpp projects/bhyve_svm/contrib/llvm/tools/clang/LICENSE.TXT projects/bhyve_svm/contrib/llvm/tools/clang/include/clang-c/CXCompilationDatabase.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang-c/CXString.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang-c/Index.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ARCMigrate/ARCMT.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ARCMigrate/ARCMTActions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ARCMigrate/FileRemapper.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/APValue.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/AST.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ASTConsumer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ASTContext.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ASTImporter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ASTMutationListener.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ASTVector.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/Attr.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/BuiltinTypes.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CXXInheritance.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CanonicalType.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CharUnits.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/Comment.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CommentCommandTraits.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CommentCommands.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CommentLexer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CommentParser.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CommentSema.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/CommentVisitor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/Decl.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclAccessPair.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclBase.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclCXX.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclContextInternals.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclFriend.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclLookups.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclObjC.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclTemplate.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclVisitor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DeclarationName.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/DependentDiagnostic.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/EvaluatedExprVisitor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/Expr.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ExprCXX.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ExprObjC.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/ExternalASTSource.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/LambdaMangleContext.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/Mangle.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/NSAPI.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/NestedNameSpecifier.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/OperationKinds.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/PrettyPrinter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/RawCommentList.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/RecursiveASTVisitor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/Stmt.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/StmtCXX.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/StmtGraphTraits.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/StmtObjC.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/TemplateBase.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/TemplateName.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/Type.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/TypeLoc.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/TypeLocVisitor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/TypeNodes.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/TypeOrdering.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/UnresolvedSet.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/VTTBuilder.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/AST/VTableBuilder.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ASTMatchers/ASTMatchFinder.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ASTMatchers/ASTMatchers.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ASTMatchers/ASTMatchersInternal.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/ASTMatchers/ASTMatchersMacros.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Analyses/Dominators.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Analyses/FormatString.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Analyses/LiveVariables.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Analyses/ThreadSafety.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Analyses/UninitializedValues.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/AnalysisContext.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/CFG.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/CallGraph.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/FlowSensitive/DataflowSolver.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/ProgramPoint.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Support/BlkExprDeclBitVector.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Support/BumpVector.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Analysis/Visitors/CFGRecStmtDeclVisitor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Attr.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/AttrKinds.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Builtins.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Builtins.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/BuiltinsARM.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/BuiltinsX86.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DeclNodes.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Diagnostic.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticASTKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticCommentKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticCommonKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticDriverKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticFrontendKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticGroups.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticIDs.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticLexKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticOptions.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticParseKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticSemaKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/DiagnosticSerializationKinds.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/FileManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/FileSystemStatCache.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/IdentifierTable.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/LLVM.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/LangOptions.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/LangOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Linkage.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/MacroBuilder.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Module.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/ObjCRuntime.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/OnDiskHashTable.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/PartialDiagnostic.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Sanitizers.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/SourceLocation.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/SourceManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Specifiers.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/StmtNodes.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/TargetBuiltins.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/TargetInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/TargetOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/TokenKinds.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/TokenKinds.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/TypeTraits.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Version.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/VersionTuple.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/Visibility.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Basic/arm_neon.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/CodeGen/ModuleBuilder.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Arg.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/ArgList.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/CC1AsOptions.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/CC1Options.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Compilation.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Driver.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Job.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/OptSpecifier.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Option.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Options.td projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Phases.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Tool.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/ToolChain.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Types.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Types.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Driver/Util.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Edit/Commit.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Edit/EditedSource.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Edit/Rewriters.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/ASTUnit.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/ChainedDiagnosticConsumer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/ChainedIncludesSource.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/CodeGenOptions.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/CodeGenOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/CompilerInstance.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/CompilerInvocation.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/DiagnosticRenderer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/FrontendAction.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/FrontendActions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/FrontendOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/LangStandard.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/LangStandards.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/LayoutOverrideSource.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/LogDiagnosticPrinter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/MultiplexConsumer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/PreprocessorOutputOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/SerializedDiagnosticPrinter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/TextDiagnostic.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/TextDiagnosticBuffer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/TextDiagnosticPrinter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/Utils.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Frontend/VerifyDiagnosticConsumer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/DirectoryLookup.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/ExternalPreprocessorSource.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/HeaderSearch.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/HeaderSearchOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/Lexer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/LiteralSupport.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/MacroInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/ModuleLoader.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/ModuleMap.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/PPCallbacks.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/PTHManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/PreprocessingRecord.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/Preprocessor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/PreprocessorOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Lex/Token.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Parse/Parser.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Rewrite/Core/RewriteRope.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Rewrite/Core/Rewriter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Rewrite/Frontend/ASTConsumers.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Rewrite/Frontend/FixItRewriter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/AttributeList.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/CXXFieldCollector.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/CodeCompleteConsumer.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/CodeCompleteOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/DeclSpec.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/DelayedDiagnostic.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/ExternalSemaSource.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/IdentifierResolver.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/Initialization.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/Lookup.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/MultiplexExternalSemaSource.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/ObjCMethodList.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/Overload.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/Ownership.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/Scope.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/ScopeInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/Sema.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/SemaInternal.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/Template.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/TemplateDeduction.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Sema/TypoCorrection.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Serialization/ASTBitCodes.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Serialization/ASTReader.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Serialization/ASTWriter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Serialization/ContinuousRangeMap.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Serialization/Module.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Serialization/ModuleManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/Analyses.def projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/AnalyzerOptions.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/BugReporter/BugReporter.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/BugReporter/BugReporterVisitor.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/BugReporter/BugType.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/BugReporter/PathDiagnostic.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/Checker.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/CheckerManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/CheckerOptInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/CheckerRegistry.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathDiagnosticConsumers.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/APSIntType.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/AnalysisManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/BasicValueFactory.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/CallEvent.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/CheckerContext.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/ConstraintManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/CoreEngine.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/Environment.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/ExplodedGraph.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/ExprEngine.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/FunctionSummary.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/MemRegion.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/ProgramState.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/ProgramStateTrait.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/SValBuilder.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/SVals.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/Store.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/SubEngine.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/SymbolManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/TaintManager.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Core/PathSensitive/WorkList.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/StaticAnalyzer/Frontend/CheckerRegistration.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Tooling/CommonOptionsParser.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Tooling/CompilationDatabase.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Tooling/FileMatchTrie.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Tooling/JSONCompilationDatabase.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Tooling/Refactoring.h projects/bhyve_svm/contrib/llvm/tools/clang/include/clang/Tooling/Tooling.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/ARCMT.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/FileRemapper.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/Internals.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/ObjCMT.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/PlistReporter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransAPIUses.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransARCAssign.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransAutoreleasePool.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransBlockObjCVariable.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransEmptyStatementsAndDealloc.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransGCAttrs.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransGCCalls.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransProperties.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransRetainReleaseDealloc.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransUnbridgedCasts.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransUnusedInitDelegate.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransZeroOutPropsInDealloc.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/TransformActions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/Transforms.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ARCMigrate/Transforms.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/APValue.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ASTConsumer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ASTContext.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ASTDiagnostic.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ASTImporter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/AttrImpl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CXXABI.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CXXInheritance.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/Comment.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CommentBriefParser.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CommentCommandTraits.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CommentLexer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CommentParser.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/CommentSema.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/Decl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclBase.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclFriend.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclGroup.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclObjC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclPrinter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclTemplate.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DeclarationName.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/DumpXML.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/Expr.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ExprCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ExprClassification.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ExprConstant.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ExternalASTSource.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/InheritViz.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ItaniumCXXABI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/ItaniumMangle.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/LambdaMangleContext.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/Mangle.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/MicrosoftCXXABI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/MicrosoftMangle.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/NSAPI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/NestedNameSpecifier.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/RawCommentList.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/RecordLayout.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/RecordLayoutBuilder.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/Stmt.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/StmtPrinter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/StmtProfile.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/TemplateBase.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/TemplateName.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/Type.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/TypeLoc.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/TypePrinter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/AST/VTableBuilder.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ASTMatchers/ASTMatchFinder.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/ASTMatchers/ASTMatchersInternal.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/AnalysisDeclContext.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/BodyFarm.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/BodyFarm.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/CFG.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/CFGStmtMap.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/CallGraph.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/CocoaConventions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/FormatString.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/FormatStringParsing.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/LiveVariables.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/PrintfFormatString.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/ReachableCode.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/ScanfFormatString.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/ThreadSafety.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Analysis/UninitializedValues.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/Builtins.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/Diagnostic.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/DiagnosticIDs.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/FileManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/FileSystemStatCache.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/IdentifierTable.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/LangOptions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/Module.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/SourceLocation.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/SourceManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/TargetInfo.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/Targets.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/TokenKinds.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/Version.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Basic/VersionTuple.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/ABIInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/BackendUtil.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGBlocks.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGBlocks.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGBuilder.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGBuiltin.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCUDANV.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCUDARuntime.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCXXABI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCXXABI.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCall.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCall.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGClass.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGCleanup.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGDebugInfo.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGDebugInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGDecl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGDeclCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGException.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGExpr.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGExprAgg.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGExprCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGExprComplex.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGExprConstant.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGExprScalar.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGObjC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGObjCGNU.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGObjCMac.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGObjCRuntime.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGObjCRuntime.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGOpenCLRuntime.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGOpenCLRuntime.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGRTTI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGRecordLayout.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGRecordLayoutBuilder.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGStmt.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGVTables.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGVTables.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CGValue.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenAction.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenFunction.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenFunction.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenModule.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenModule.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenTBAA.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenTBAA.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenTypes.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/CodeGenTypes.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/ItaniumCXXABI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/MicrosoftCXXABI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/ModuleBuilder.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/TargetInfo.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/CodeGen/TargetInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Action.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/ArgList.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/CC1AsOptions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Compilation.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Driver.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/InputInfo.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Job.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/OptTable.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Option.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Phases.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/SanitizerArgs.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/ToolChain.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/ToolChains.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/ToolChains.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Tools.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Tools.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/Types.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Driver/WindowsToolChain.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Edit/Commit.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Edit/EditedSource.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Edit/RewriteObjCFoundationAPI.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/ASTConsumers.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/ASTMerge.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/ASTUnit.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/CacheTokens.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/ChainedIncludesSource.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/CompilerInstance.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/CompilerInvocation.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/CreateInvocationFromCommandLine.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/DependencyFile.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/DependencyGraph.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/DiagnosticRenderer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/FrontendAction.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/FrontendActions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/FrontendOptions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/InitHeaderSearch.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/InitPreprocessor.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/LayoutOverrideSource.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/LogDiagnosticPrinter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/MultiplexConsumer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/PrintPreprocessedOutput.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/SerializedDiagnosticPrinter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/TextDiagnostic.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/TextDiagnosticBuffer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/TextDiagnosticPrinter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/VerifyDiagnosticConsumer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Frontend/Warnings.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/FrontendTool/ExecuteCompilerInvocation.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/altivec.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/avx2intrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/avxintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/cpuid.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/emmintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/f16cintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/immintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/mm3dnow.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/mm_malloc.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/module.map projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/pmmintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/smmintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/stdalign.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/stddef.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/stdint.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/tmmintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/unwind.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/x86intrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/xmmintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Headers/xopintrin.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/HeaderMap.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/HeaderSearch.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/Lexer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/LiteralSupport.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/MacroArgs.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/MacroInfo.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/ModuleMap.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PPDirectives.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PPExpressions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PPLexerChange.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PPMacroExpansion.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PTHLexer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/Pragma.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PreprocessingRecord.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/Preprocessor.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/PreprocessorLexer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/TokenConcatenation.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Lex/TokenLexer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseAST.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseCXXInlineMethods.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseDecl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseDeclCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseExpr.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseExprCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseInit.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseObjc.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParsePragma.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParsePragma.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseStmt.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseTemplate.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/ParseTentative.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/Parser.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Parse/RAIIObjectsForParser.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Core/DeltaTree.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Core/HTMLRewrite.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Core/Rewriter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Core/TokenRewriter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/FixItRewriter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/FrontendActions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/InclusionRewriter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/RewriteMacros.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/RewriteModernObjC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Rewrite/Frontend/RewriteObjC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/AnalysisBasedWarnings.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/AttributeList.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/CodeCompleteConsumer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/DeclSpec.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/IdentifierResolver.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/JumpDiagnostics.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/MultiplexExternalSemaSource.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/ScopeInfo.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/Sema.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaAccess.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaAttr.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaCXXScopeSpec.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaCast.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaChecking.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaCodeComplete.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaDecl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaDeclAttr.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaDeclCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaDeclObjC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaExceptionSpec.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaExpr.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaExprCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaExprMember.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaExprObjC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaFixItUtils.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaInit.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaLambda.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaLookup.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaObjCProperty.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaOverload.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaPseudoObject.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaStmt.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaStmtAsm.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaStmtAttr.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaTemplate.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaTemplateDeduction.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaTemplateInstantiate.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaTemplateInstantiateDecl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaTemplateVariadic.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/SemaType.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/TargetAttributesSema.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/TreeTransform.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Sema/TypeLocBuilder.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTCommon.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTCommon.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTReader.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTReaderDecl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTReaderInternals.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTReaderStmt.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTWriter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTWriterDecl.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ASTWriterStmt.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/GeneratePCH.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/Module.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Serialization/ModuleManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/AnalyzerStatsChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ArrayBoundChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ArrayBoundCheckerV2.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/BasicObjCFoundationChecks.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/BoolAssignmentChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/BuiltinFunctionChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CStringChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CStringSyntaxChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CallAndMessageChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CastSizeChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CastToStructChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CheckObjCDealloc.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CheckObjCInstMethSignature.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CheckSecuritySyntaxOnly.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CheckSizeofPointer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/CheckerDocumentation.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/Checkers.td projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ChrootChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ClangSACheckers.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/DeadStoresChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/DebugCheckers.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/DereferenceChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/DirectIvarAssignment.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/DivZeroChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/DynamicTypePropagation.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ExprInspectionChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/FixedAddressChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/GenericTaintChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/IdempotentOperationChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/IvarInvalidationChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/LLVMConventionsChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/MacOSKeychainAPIChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/MacOSXAPIChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/MallocChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/MallocOverflowSecurityChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/MallocSizeofChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/NSAutoreleasePoolChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/NSErrorChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/NoReturnFunctionChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ObjCAtSyncChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ObjCContainersASTChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ObjCContainersChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ObjCMissingSuperCallChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ObjCSelfInitChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ObjCUnusedIVarsChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/PointerArithChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/PointerSubChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/PthreadLockChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/RetainCountChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ReturnPointerRangeChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/ReturnUndefChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/SimpleStreamChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/StackAddrEscapeChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/StreamChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/TaintTesterChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/TraversalChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/UndefBranchChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/UndefCapturedBlockVarChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/UndefResultChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/UndefinedArraySubscriptChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/UndefinedAssignmentChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/UnixAPIChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/UnreachableCodeChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/VLASizeChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Checkers/VirtualCallChecker.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/APSIntType.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/AnalysisManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/AnalyzerOptions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/BugReporter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/BugReporterVisitors.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/CallEvent.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/CheckerManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/CheckerRegistry.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/CoreEngine.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/Environment.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/ExplodedGraph.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/ExprEngine.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/ExprEngineC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/ExprEngineCXX.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/ExprEngineCallAndReturn.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/ExprEngineObjC.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/FunctionSummary.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/HTMLDiagnostics.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/MemRegion.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/PathDiagnostic.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/PlistDiagnostics.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/ProgramState.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/RangeConstraintManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/RegionStore.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/SValBuilder.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/SVals.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/SimpleConstraintManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/SimpleConstraintManager.h projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/SimpleSValBuilder.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/Store.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/SymbolManager.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Core/TextPathDiagnostics.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Frontend/AnalysisConsumer.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Frontend/CheckerRegistration.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/StaticAnalyzer/Frontend/FrontendActions.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Tooling/CommonOptionsParser.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Tooling/CompilationDatabase.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Tooling/FileMatchTrie.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Tooling/JSONCompilationDatabase.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Tooling/Refactoring.cpp projects/bhyve_svm/contrib/llvm/tools/clang/lib/Tooling/Tooling.cpp projects/bhyve_svm/contrib/llvm/tools/clang/tools/driver/cc1_main.cpp projects/bhyve_svm/contrib/llvm/tools/clang/tools/driver/cc1as_main.cpp projects/bhyve_svm/contrib/llvm/tools/clang/tools/driver/driver.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/ClangASTNodesEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/ClangAttrEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/ClangCommentCommandInfoEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/ClangCommentHTMLTagsEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/ClangDiagnosticsEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/NeonEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/OptParserEmitter.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/TableGen.cpp projects/bhyve_svm/contrib/llvm/tools/clang/utils/TableGen/TableGenBackends.h projects/bhyve_svm/contrib/llvm/tools/llc/llc.cpp projects/bhyve_svm/contrib/llvm/tools/lli/RecordingMemoryManager.cpp projects/bhyve_svm/contrib/llvm/tools/lli/RecordingMemoryManager.h projects/bhyve_svm/contrib/llvm/tools/lli/RemoteTarget.h projects/bhyve_svm/contrib/llvm/tools/lli/lli.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-ar/llvm-ar.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-as/llvm-as.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-bcanalyzer/llvm-bcanalyzer.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-diff/DiffConsumer.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-diff/DiffConsumer.h projects/bhyve_svm/contrib/llvm/tools/llvm-diff/DiffLog.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-diff/DifferenceEngine.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-diff/DifferenceEngine.h projects/bhyve_svm/contrib/llvm/tools/llvm-diff/llvm-diff.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-dis/llvm-dis.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-extract/llvm-extract.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-link/llvm-link.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-mc/Disassembler.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-mc/Disassembler.h projects/bhyve_svm/contrib/llvm/tools/llvm-mc/llvm-mc.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-nm/llvm-nm.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-objdump/MachODump.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-objdump/llvm-objdump.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-objdump/llvm-objdump.h projects/bhyve_svm/contrib/llvm/tools/llvm-prof/llvm-prof.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-ranlib/llvm-ranlib.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-readobj/llvm-readobj.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-rtdyld/llvm-rtdyld.cpp projects/bhyve_svm/contrib/llvm/tools/llvm-stress/llvm-stress.cpp projects/bhyve_svm/contrib/llvm/tools/macho-dump/macho-dump.cpp projects/bhyve_svm/contrib/llvm/tools/opt/AnalysisWrappers.cpp projects/bhyve_svm/contrib/llvm/tools/opt/GraphPrinters.cpp projects/bhyve_svm/contrib/llvm/tools/opt/PrintSCC.cpp projects/bhyve_svm/contrib/llvm/tools/opt/opt.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/AsmMatcherEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/AsmWriterEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeEmitterGen.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenDAGPatterns.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenDAGPatterns.h projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenInstruction.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenInstruction.h projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenIntrinsics.h projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenMapTable.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenRegisters.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenRegisters.h projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenSchedule.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenSchedule.h projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenTarget.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/CodeGenTarget.h projects/bhyve_svm/contrib/llvm/utils/TableGen/DAGISelMatcher.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/DAGISelMatcher.h projects/bhyve_svm/contrib/llvm/utils/TableGen/DAGISelMatcherEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/DAGISelMatcherGen.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/DFAPacketizerEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/DisassemblerEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/FixedLenDecoderEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/InstrInfoEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/IntrinsicEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/PseudoLoweringEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/RegisterInfoEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/SequenceToOffsetTable.h projects/bhyve_svm/contrib/llvm/utils/TableGen/SetTheory.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/SetTheory.h projects/bhyve_svm/contrib/llvm/utils/TableGen/StringToOffsetTable.h projects/bhyve_svm/contrib/llvm/utils/TableGen/SubtargetEmitter.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/TableGen.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/TableGenBackends.h projects/bhyve_svm/contrib/llvm/utils/TableGen/X86DisassemblerShared.h projects/bhyve_svm/contrib/llvm/utils/TableGen/X86DisassemblerTables.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/X86DisassemblerTables.h projects/bhyve_svm/contrib/llvm/utils/TableGen/X86RecognizableInstr.cpp projects/bhyve_svm/contrib/llvm/utils/TableGen/X86RecognizableInstr.h projects/bhyve_svm/contrib/mtree/Makefile projects/bhyve_svm/contrib/mtree/create.c projects/bhyve_svm/contrib/mtree/extern.h projects/bhyve_svm/contrib/mtree/mtree.8 projects/bhyve_svm/contrib/mtree/mtree.c projects/bhyve_svm/contrib/mtree/verify.c projects/bhyve_svm/contrib/netcat/FREEBSD-vendor projects/bhyve_svm/contrib/netcat/atomicio.c projects/bhyve_svm/contrib/netcat/nc.1 projects/bhyve_svm/contrib/netcat/netcat.c projects/bhyve_svm/contrib/nvi/common/exf.c projects/bhyve_svm/contrib/nvi/common/options.c projects/bhyve_svm/contrib/nvi/include/options_def.h projects/bhyve_svm/contrib/openbsm/bin/auditdistd/token.l projects/bhyve_svm/contrib/openpam/lib/openpam_log.c projects/bhyve_svm/contrib/sendmail/CACerts projects/bhyve_svm/contrib/sendmail/FREEBSD-upgrade projects/bhyve_svm/contrib/sendmail/PGPKEYS projects/bhyve_svm/contrib/sendmail/RELEASE_NOTES projects/bhyve_svm/contrib/sendmail/cf/cf/submit.cf projects/bhyve_svm/contrib/sendmail/cf/m4/version.m4 projects/bhyve_svm/contrib/sendmail/contrib/etrn.pl projects/bhyve_svm/contrib/sendmail/doc/op/op.me projects/bhyve_svm/contrib/sendmail/include/sm/config.h projects/bhyve_svm/contrib/sendmail/include/sm/io.h projects/bhyve_svm/contrib/sendmail/libmilter/Makefile.m4 projects/bhyve_svm/contrib/sendmail/libmilter/sm_gethost.c projects/bhyve_svm/contrib/sendmail/libsm/Makefile.m4 projects/bhyve_svm/contrib/sendmail/libsm/cf.c projects/bhyve_svm/contrib/sendmail/libsm/fget.c projects/bhyve_svm/contrib/sendmail/mailstats/mailstats.c projects/bhyve_svm/contrib/sendmail/makemap/makemap.c projects/bhyve_svm/contrib/sendmail/praliases/praliases.c projects/bhyve_svm/contrib/sendmail/rmail/rmail.c projects/bhyve_svm/contrib/sendmail/src/Makefile.m4 projects/bhyve_svm/contrib/sendmail/src/alias.c projects/bhyve_svm/contrib/sendmail/src/collect.c projects/bhyve_svm/contrib/sendmail/src/conf.c projects/bhyve_svm/contrib/sendmail/src/control.c projects/bhyve_svm/contrib/sendmail/src/deliver.c projects/bhyve_svm/contrib/sendmail/src/main.c projects/bhyve_svm/contrib/sendmail/src/map.c projects/bhyve_svm/contrib/sendmail/src/mci.c projects/bhyve_svm/contrib/sendmail/src/milter.c projects/bhyve_svm/contrib/sendmail/src/mime.c projects/bhyve_svm/contrib/sendmail/src/parseaddr.c projects/bhyve_svm/contrib/sendmail/src/queue.c projects/bhyve_svm/contrib/sendmail/src/readcf.c projects/bhyve_svm/contrib/sendmail/src/recipient.c projects/bhyve_svm/contrib/sendmail/src/savemail.c projects/bhyve_svm/contrib/sendmail/src/sendmail.h projects/bhyve_svm/contrib/sendmail/src/sfsasl.c projects/bhyve_svm/contrib/sendmail/src/srvrsmtp.c projects/bhyve_svm/contrib/sendmail/src/tls.c projects/bhyve_svm/contrib/sendmail/src/usersmtp.c projects/bhyve_svm/contrib/sendmail/src/util.c projects/bhyve_svm/contrib/sendmail/src/version.c projects/bhyve_svm/contrib/sendmail/test/Makefile.m4 projects/bhyve_svm/contrib/sendmail/vacation/vacation.c projects/bhyve_svm/contrib/smbfs/lib/smb/ctx.c projects/bhyve_svm/contrib/smbfs/mount_smbfs/mount_smbfs.c projects/bhyve_svm/contrib/tcpdump/CHANGES projects/bhyve_svm/contrib/tcpdump/CREDITS projects/bhyve_svm/contrib/tcpdump/Makefile.in projects/bhyve_svm/contrib/tcpdump/README projects/bhyve_svm/contrib/tcpdump/VERSION projects/bhyve_svm/contrib/tcpdump/addrtoname.c projects/bhyve_svm/contrib/tcpdump/checksum.c projects/bhyve_svm/contrib/tcpdump/configure projects/bhyve_svm/contrib/tcpdump/configure.in projects/bhyve_svm/contrib/tcpdump/ethertype.h projects/bhyve_svm/contrib/tcpdump/icmp6.h projects/bhyve_svm/contrib/tcpdump/interface.h projects/bhyve_svm/contrib/tcpdump/netdissect.h projects/bhyve_svm/contrib/tcpdump/nlpid.c projects/bhyve_svm/contrib/tcpdump/nlpid.h projects/bhyve_svm/contrib/tcpdump/print-802_11.c projects/bhyve_svm/contrib/tcpdump/print-babel.c projects/bhyve_svm/contrib/tcpdump/print-bgp.c projects/bhyve_svm/contrib/tcpdump/print-dhcp6.c projects/bhyve_svm/contrib/tcpdump/print-domain.c projects/bhyve_svm/contrib/tcpdump/print-ether.c projects/bhyve_svm/contrib/tcpdump/print-icmp6.c projects/bhyve_svm/contrib/tcpdump/print-isoclns.c projects/bhyve_svm/contrib/tcpdump/print-lldp.c projects/bhyve_svm/contrib/tcpdump/print-ntp.c projects/bhyve_svm/contrib/tcpdump/print-pppoe.c projects/bhyve_svm/contrib/tcpdump/print-rip.c projects/bhyve_svm/contrib/tcpdump/print-rpki-rtr.c projects/bhyve_svm/contrib/tcpdump/print-stp.c projects/bhyve_svm/contrib/tcpdump/print-tcp.c projects/bhyve_svm/contrib/tcpdump/print-udp.c projects/bhyve_svm/contrib/tcpdump/tcp.h projects/bhyve_svm/contrib/tcpdump/tcpdump.1.in projects/bhyve_svm/contrib/tcpdump/tcpdump.c projects/bhyve_svm/contrib/tcpdump/udp.h projects/bhyve_svm/contrib/telnet/telnetd/sys_term.c projects/bhyve_svm/contrib/tzdata/africa projects/bhyve_svm/contrib/tzdata/antarctica projects/bhyve_svm/contrib/tzdata/asia projects/bhyve_svm/contrib/tzdata/australasia projects/bhyve_svm/contrib/tzdata/europe projects/bhyve_svm/contrib/tzdata/southamerica projects/bhyve_svm/contrib/tzdata/zone.tab projects/bhyve_svm/contrib/wpa/COPYING projects/bhyve_svm/contrib/wpa/README projects/bhyve_svm/contrib/wpa/hostapd/ChangeLog projects/bhyve_svm/contrib/wpa/hostapd/README projects/bhyve_svm/contrib/wpa/hostapd/README-WPS projects/bhyve_svm/contrib/wpa/hostapd/config_file.c (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/config_file.h (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/ctrl_iface.c (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/ctrl_iface.h (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/defconfig projects/bhyve_svm/contrib/wpa/hostapd/dump_state.c (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/dump_state.h (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/eap_register.c (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/eap_register.h (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/hlr_auc_gw.c (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/hostapd.conf (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/hostapd.eap_user projects/bhyve_svm/contrib/wpa/hostapd/hostapd_cli.c (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/main.c (contents, props changed) projects/bhyve_svm/contrib/wpa/hostapd/nt_password_hash.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/accounting.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/accounting.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_config.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_config.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_drv_ops.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_drv_ops.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_list.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_list.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_mlme.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ap_mlme.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/authsrv.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/authsrv.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/beacon.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/beacon.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ctrl_iface_ap.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ctrl_iface_ap.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/drv_callbacks.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/hostapd.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/hostapd.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/hw_features.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/hw_features.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/iapp.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/iapp.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ieee802_11.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ieee802_11.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ieee802_11_auth.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ieee802_11_auth.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ieee802_11_ht.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ieee802_1x.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/ieee802_1x.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/peerkey_auth.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/pmksa_cache_auth.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/pmksa_cache_auth.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/preauth_auth.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/preauth_auth.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/sta_info.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/sta_info.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/tkip_countermeasures.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/tkip_countermeasures.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/utils.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/vlan_init.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wmm.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth_ft.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth_glue.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth_glue.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth_ie.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wpa_auth_ie.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wps_hostapd.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/ap/wps_hostapd.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/common/defs.h projects/bhyve_svm/contrib/wpa/src/common/eapol_common.h projects/bhyve_svm/contrib/wpa/src/common/ieee802_11_common.c projects/bhyve_svm/contrib/wpa/src/common/ieee802_11_common.h projects/bhyve_svm/contrib/wpa/src/common/ieee802_11_defs.h projects/bhyve_svm/contrib/wpa/src/common/privsep_commands.h projects/bhyve_svm/contrib/wpa/src/common/version.h projects/bhyve_svm/contrib/wpa/src/common/wpa_common.c projects/bhyve_svm/contrib/wpa/src/common/wpa_common.h projects/bhyve_svm/contrib/wpa/src/common/wpa_ctrl.c projects/bhyve_svm/contrib/wpa/src/common/wpa_ctrl.h projects/bhyve_svm/contrib/wpa/src/crypto/aes-cbc.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-ctr.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-eax.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-encblock.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-internal-dec.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-internal-enc.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-internal.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-omac1.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-unwrap.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes-wrap.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes.h projects/bhyve_svm/contrib/wpa/src/crypto/aes_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/aes_wrap.h projects/bhyve_svm/contrib/wpa/src/crypto/crypto.h projects/bhyve_svm/contrib/wpa/src/crypto/crypto_cryptoapi.c projects/bhyve_svm/contrib/wpa/src/crypto/crypto_gnutls.c projects/bhyve_svm/contrib/wpa/src/crypto/crypto_internal-cipher.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/crypto_internal-modexp.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/crypto_internal-rsa.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/crypto_internal.c projects/bhyve_svm/contrib/wpa/src/crypto/crypto_libtomcrypt.c projects/bhyve_svm/contrib/wpa/src/crypto/crypto_none.c projects/bhyve_svm/contrib/wpa/src/crypto/crypto_nss.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/crypto_openssl.c projects/bhyve_svm/contrib/wpa/src/crypto/des-internal.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/des_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/dh_group5.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/dh_group5.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/dh_groups.c projects/bhyve_svm/contrib/wpa/src/crypto/dh_groups.h projects/bhyve_svm/contrib/wpa/src/crypto/fips_prf_cryptoapi.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/fips_prf_gnutls.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/fips_prf_internal.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/fips_prf_nss.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/fips_prf_openssl.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/md4-internal.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/md5-internal.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/md5.c projects/bhyve_svm/contrib/wpa/src/crypto/md5.h projects/bhyve_svm/contrib/wpa/src/crypto/md5_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/milenage.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/milenage.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/ms_funcs.c projects/bhyve_svm/contrib/wpa/src/crypto/ms_funcs.h projects/bhyve_svm/contrib/wpa/src/crypto/rc4.c projects/bhyve_svm/contrib/wpa/src/crypto/sha1-internal.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/sha1-pbkdf2.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/sha1-tlsprf.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/sha1-tprf.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/sha1.c projects/bhyve_svm/contrib/wpa/src/crypto/sha1.h projects/bhyve_svm/contrib/wpa/src/crypto/sha1_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/sha256-internal.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/sha256.c projects/bhyve_svm/contrib/wpa/src/crypto/sha256.h projects/bhyve_svm/contrib/wpa/src/crypto/tls.h projects/bhyve_svm/contrib/wpa/src/crypto/tls_gnutls.c projects/bhyve_svm/contrib/wpa/src/crypto/tls_internal.c projects/bhyve_svm/contrib/wpa/src/crypto/tls_none.c projects/bhyve_svm/contrib/wpa/src/crypto/tls_nss.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/crypto/tls_openssl.c projects/bhyve_svm/contrib/wpa/src/crypto/tls_schannel.c projects/bhyve_svm/contrib/wpa/src/drivers/driver.h projects/bhyve_svm/contrib/wpa/src/drivers/driver_ndis.c projects/bhyve_svm/contrib/wpa/src/drivers/driver_ndis.h projects/bhyve_svm/contrib/wpa/src/drivers/driver_ndis_.c projects/bhyve_svm/contrib/wpa/src/drivers/driver_wired.c projects/bhyve_svm/contrib/wpa/src/drivers/drivers.c projects/bhyve_svm/contrib/wpa/src/drivers/ndis_events.c projects/bhyve_svm/contrib/wpa/src/eap_common/chap.c projects/bhyve_svm/contrib/wpa/src/eap_common/chap.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_defs.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_fast_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_fast_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_gpsk_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_gpsk_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_ikev2_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_ikev2_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_pax_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_pax_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_peap_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_peap_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_psk_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_psk_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_sake_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_sake_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_sim_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_sim_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_tlv_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_ttls.h projects/bhyve_svm/contrib/wpa/src/eap_common/eap_wsc_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/eap_wsc_common.h projects/bhyve_svm/contrib/wpa/src/eap_common/ikev2_common.c projects/bhyve_svm/contrib/wpa/src/eap_common/ikev2_common.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_aka.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_config.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_fast.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_fast_pac.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_fast_pac.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_gpsk.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_gtc.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_i.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_ikev2.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_leap.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_md5.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_methods.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_methods.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_mschapv2.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_otp.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_pax.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_peap.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_psk.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_sake.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_sim.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_tls.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_tls_common.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_tls_common.h projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_tnc.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_ttls.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_vendor_test.c projects/bhyve_svm/contrib/wpa/src/eap_peer/eap_wsc.c projects/bhyve_svm/contrib/wpa/src/eap_peer/ikev2.c projects/bhyve_svm/contrib/wpa/src/eap_peer/ikev2.h projects/bhyve_svm/contrib/wpa/src/eap_peer/mschapv2.c projects/bhyve_svm/contrib/wpa/src/eap_peer/mschapv2.h projects/bhyve_svm/contrib/wpa/src/eap_peer/tncc.c projects/bhyve_svm/contrib/wpa/src/eap_peer/tncc.h projects/bhyve_svm/contrib/wpa/src/eap_server/eap.h projects/bhyve_svm/contrib/wpa/src/eap_server/eap_i.h projects/bhyve_svm/contrib/wpa/src/eap_server/eap_methods.h projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_aka.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_fast.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_gpsk.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_gtc.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_identity.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_ikev2.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_md5.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_methods.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_mschapv2.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_pax.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_peap.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_psk.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_sake.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_sim.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_tls.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_tls_common.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_tnc.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_ttls.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_vendor_test.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_server_wsc.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eap_server/eap_sim_db.c projects/bhyve_svm/contrib/wpa/src/eap_server/eap_sim_db.h projects/bhyve_svm/contrib/wpa/src/eap_server/eap_tls_common.h projects/bhyve_svm/contrib/wpa/src/eap_server/ikev2.c projects/bhyve_svm/contrib/wpa/src/eap_server/ikev2.h projects/bhyve_svm/contrib/wpa/src/eap_server/tncs.c projects/bhyve_svm/contrib/wpa/src/eap_server/tncs.h projects/bhyve_svm/contrib/wpa/src/eapol_auth/eapol_auth_dump.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eapol_auth/eapol_auth_sm.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eapol_auth/eapol_auth_sm.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eapol_auth/eapol_auth_sm_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/eapol_supp/eapol_supp_sm.c projects/bhyve_svm/contrib/wpa/src/eapol_supp/eapol_supp_sm.h projects/bhyve_svm/contrib/wpa/src/l2_packet/l2_packet.h projects/bhyve_svm/contrib/wpa/src/l2_packet/l2_packet_freebsd.c projects/bhyve_svm/contrib/wpa/src/l2_packet/l2_packet_ndis.c projects/bhyve_svm/contrib/wpa/src/l2_packet/l2_packet_none.c projects/bhyve_svm/contrib/wpa/src/radius/radius.c projects/bhyve_svm/contrib/wpa/src/radius/radius.h projects/bhyve_svm/contrib/wpa/src/radius/radius_client.c projects/bhyve_svm/contrib/wpa/src/radius/radius_client.h projects/bhyve_svm/contrib/wpa/src/radius/radius_server.c projects/bhyve_svm/contrib/wpa/src/radius/radius_server.h projects/bhyve_svm/contrib/wpa/src/rsn_supp/peerkey.c projects/bhyve_svm/contrib/wpa/src/rsn_supp/peerkey.h projects/bhyve_svm/contrib/wpa/src/rsn_supp/pmksa_cache.c projects/bhyve_svm/contrib/wpa/src/rsn_supp/pmksa_cache.h projects/bhyve_svm/contrib/wpa/src/rsn_supp/preauth.c projects/bhyve_svm/contrib/wpa/src/rsn_supp/preauth.h projects/bhyve_svm/contrib/wpa/src/rsn_supp/wpa.c projects/bhyve_svm/contrib/wpa/src/rsn_supp/wpa.h projects/bhyve_svm/contrib/wpa/src/rsn_supp/wpa_ft.c projects/bhyve_svm/contrib/wpa/src/rsn_supp/wpa_i.h projects/bhyve_svm/contrib/wpa/src/rsn_supp/wpa_ie.c projects/bhyve_svm/contrib/wpa/src/rsn_supp/wpa_ie.h projects/bhyve_svm/contrib/wpa/src/tls/asn1.c projects/bhyve_svm/contrib/wpa/src/tls/asn1.h projects/bhyve_svm/contrib/wpa/src/tls/bignum.c projects/bhyve_svm/contrib/wpa/src/tls/bignum.h projects/bhyve_svm/contrib/wpa/src/tls/libtommath.c projects/bhyve_svm/contrib/wpa/src/tls/pkcs1.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/tls/pkcs1.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/tls/pkcs5.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/tls/pkcs5.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/tls/pkcs8.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/tls/pkcs8.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/tls/rsa.c projects/bhyve_svm/contrib/wpa/src/tls/rsa.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_client.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_client.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_client_i.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_client_read.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_client_write.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_common.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_common.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_cred.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_cred.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_record.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_record.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_server.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_server.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_server_i.h projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_server_read.c projects/bhyve_svm/contrib/wpa/src/tls/tlsv1_server_write.c projects/bhyve_svm/contrib/wpa/src/tls/x509v3.c projects/bhyve_svm/contrib/wpa/src/tls/x509v3.h projects/bhyve_svm/contrib/wpa/src/utils/base64.c projects/bhyve_svm/contrib/wpa/src/utils/base64.h projects/bhyve_svm/contrib/wpa/src/utils/build_config.h projects/bhyve_svm/contrib/wpa/src/utils/common.c projects/bhyve_svm/contrib/wpa/src/utils/common.h projects/bhyve_svm/contrib/wpa/src/utils/eloop.c projects/bhyve_svm/contrib/wpa/src/utils/eloop.h projects/bhyve_svm/contrib/wpa/src/utils/eloop_none.c projects/bhyve_svm/contrib/wpa/src/utils/eloop_win.c projects/bhyve_svm/contrib/wpa/src/utils/includes.h projects/bhyve_svm/contrib/wpa/src/utils/ip_addr.c projects/bhyve_svm/contrib/wpa/src/utils/ip_addr.h projects/bhyve_svm/contrib/wpa/src/utils/list.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/utils/os.h projects/bhyve_svm/contrib/wpa/src/utils/os_internal.c projects/bhyve_svm/contrib/wpa/src/utils/os_none.c projects/bhyve_svm/contrib/wpa/src/utils/os_unix.c projects/bhyve_svm/contrib/wpa/src/utils/os_win32.c projects/bhyve_svm/contrib/wpa/src/utils/pcsc_funcs.c projects/bhyve_svm/contrib/wpa/src/utils/pcsc_funcs.h projects/bhyve_svm/contrib/wpa/src/utils/radiotap.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/utils/radiotap_iter.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/utils/state_machine.h projects/bhyve_svm/contrib/wpa/src/utils/trace.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/utils/trace.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/utils/uuid.c projects/bhyve_svm/contrib/wpa/src/utils/uuid.h projects/bhyve_svm/contrib/wpa/src/utils/wpa_debug.c projects/bhyve_svm/contrib/wpa/src/utils/wpa_debug.h projects/bhyve_svm/contrib/wpa/src/utils/wpabuf.c projects/bhyve_svm/contrib/wpa/src/utils/wpabuf.h projects/bhyve_svm/contrib/wpa/src/wps/http_client.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/http_client.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/http_server.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/http_server.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/httpread.c projects/bhyve_svm/contrib/wpa/src/wps/httpread.h projects/bhyve_svm/contrib/wpa/src/wps/ndef.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/upnp_xml.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/upnp_xml.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/wps.c projects/bhyve_svm/contrib/wpa/src/wps/wps.h projects/bhyve_svm/contrib/wpa/src/wps/wps_attr_build.c projects/bhyve_svm/contrib/wpa/src/wps/wps_attr_parse.c projects/bhyve_svm/contrib/wpa/src/wps/wps_attr_process.c projects/bhyve_svm/contrib/wpa/src/wps/wps_common.c projects/bhyve_svm/contrib/wpa/src/wps/wps_defs.h projects/bhyve_svm/contrib/wpa/src/wps/wps_dev_attr.c projects/bhyve_svm/contrib/wpa/src/wps/wps_dev_attr.h projects/bhyve_svm/contrib/wpa/src/wps/wps_enrollee.c projects/bhyve_svm/contrib/wpa/src/wps/wps_er.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/wps_er.h (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/wps_er_ssdp.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/wps_i.h projects/bhyve_svm/contrib/wpa/src/wps/wps_registrar.c projects/bhyve_svm/contrib/wpa/src/wps/wps_upnp.c projects/bhyve_svm/contrib/wpa/src/wps/wps_upnp.h projects/bhyve_svm/contrib/wpa/src/wps/wps_upnp_ap.c (contents, props changed) projects/bhyve_svm/contrib/wpa/src/wps/wps_upnp_event.c projects/bhyve_svm/contrib/wpa/src/wps/wps_upnp_i.h projects/bhyve_svm/contrib/wpa/src/wps/wps_upnp_ssdp.c projects/bhyve_svm/contrib/wpa/src/wps/wps_upnp_web.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/ChangeLog projects/bhyve_svm/contrib/wpa/wpa_supplicant/README projects/bhyve_svm/contrib/wpa/wpa_supplicant/README-WPS projects/bhyve_svm/contrib/wpa/wpa_supplicant/ap.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/ap.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/bgscan.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/bgscan.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/bgscan_simple.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/blacklist.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/blacklist.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/bss.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/bss.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/config.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/config.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/config_file.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/config_none.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/config_ssid.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/ctrl_iface.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/ctrl_iface.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/ctrl_iface_named_pipe.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/ctrl_iface_udp.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/ctrl_iface_unix.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/Makefile (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_common.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_common.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_common_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_dict_helpers.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_dict_helpers.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_handlers_wps.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_helpers.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_helpers.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_new_introspect.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_old.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_old.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus_old_handlers_wps.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/defconfig projects/bhyve_svm/contrib/wpa/wpa_supplicant/driver_i.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/eap_register.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/eapol_test.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/events.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-signals.py (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/ibss_rsn.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/ibss_rsn.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/main.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/main_none.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/notify.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/notify.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/preauth_test.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/scan.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/scan.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/sme.c (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/sme.h (contents, props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/tests/test_eap_sim_common.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/tests/test_wpa.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_cli.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_passphrase.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_priv.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_supplicant.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_supplicant.conf projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpa_supplicant_i.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpas_glue.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wpas_glue.h projects/bhyve_svm/contrib/wpa/wpa_supplicant/wps_supplicant.c projects/bhyve_svm/contrib/wpa/wpa_supplicant/wps_supplicant.h projects/bhyve_svm/crypto/heimdal/lib/gssapi/gssapi/gssapi_krb5.h projects/bhyve_svm/crypto/heimdal/lib/kadm5/ipropd_master.c projects/bhyve_svm/crypto/openssh/ChangeLog projects/bhyve_svm/crypto/openssh/README projects/bhyve_svm/crypto/openssh/compat.h projects/bhyve_svm/crypto/openssh/krl.c projects/bhyve_svm/crypto/openssh/openbsd-compat/bsd-cygwin_util.c projects/bhyve_svm/crypto/openssh/openbsd-compat/bsd-cygwin_util.h projects/bhyve_svm/crypto/openssh/packet.c projects/bhyve_svm/crypto/openssh/readconf.c projects/bhyve_svm/crypto/openssh/readconf.h projects/bhyve_svm/crypto/openssh/servconf.c projects/bhyve_svm/crypto/openssh/ssh.c projects/bhyve_svm/crypto/openssh/ssh_config projects/bhyve_svm/crypto/openssh/ssh_config.5 projects/bhyve_svm/crypto/openssh/sshconnect.c projects/bhyve_svm/crypto/openssh/sshconnect2.c projects/bhyve_svm/crypto/openssh/sshd_config projects/bhyve_svm/crypto/openssh/sshd_config.5 projects/bhyve_svm/crypto/openssh/version.h projects/bhyve_svm/etc/Makefile projects/bhyve_svm/etc/defaults/periodic.conf projects/bhyve_svm/etc/defaults/rc.conf projects/bhyve_svm/etc/devd/usb.conf projects/bhyve_svm/etc/inetd.conf projects/bhyve_svm/etc/mtree/BSD.include.dist projects/bhyve_svm/etc/mtree/BSD.usr.dist projects/bhyve_svm/etc/mtree/Makefile projects/bhyve_svm/etc/network.subr projects/bhyve_svm/etc/newsyslog.conf projects/bhyve_svm/etc/periodic/daily/450.status-security projects/bhyve_svm/etc/periodic/daily/Makefile projects/bhyve_svm/etc/protocols projects/bhyve_svm/etc/rc projects/bhyve_svm/etc/rc.d/Makefile projects/bhyve_svm/etc/rc.d/addswap projects/bhyve_svm/etc/rc.d/fsck projects/bhyve_svm/etc/rc.d/hostapd projects/bhyve_svm/etc/rc.d/ip6addrctl projects/bhyve_svm/etc/rc.d/ipfw projects/bhyve_svm/etc/rc.d/mdconfig projects/bhyve_svm/etc/rc.d/mountlate projects/bhyve_svm/etc/rc.d/netif projects/bhyve_svm/etc/rc.d/ntpdate projects/bhyve_svm/etc/rc.d/pfsync projects/bhyve_svm/etc/rc.d/routing projects/bhyve_svm/etc/rc.d/sshd projects/bhyve_svm/etc/rc.d/syslogd projects/bhyve_svm/etc/rc.d/zfs projects/bhyve_svm/etc/rc.resume projects/bhyve_svm/etc/rc.shutdown projects/bhyve_svm/etc/sendmail/freebsd.mc projects/bhyve_svm/etc/sendmail/freebsd.submit.mc projects/bhyve_svm/etc/syslog.conf projects/bhyve_svm/gnu/lib/libdialog/Makefile projects/bhyve_svm/gnu/lib/libdialog/dlg_config.h projects/bhyve_svm/gnu/lib/libgcc/Makefile projects/bhyve_svm/gnu/lib/libregex/Makefile projects/bhyve_svm/gnu/lib/libregex/config.h projects/bhyve_svm/gnu/lib/libregex/regex.h projects/bhyve_svm/gnu/lib/libsupc++/Version.map projects/bhyve_svm/gnu/usr.bin/Makefile projects/bhyve_svm/gnu/usr.bin/cc/include/Makefile projects/bhyve_svm/gnu/usr.bin/dialog/Makefile projects/bhyve_svm/gnu/usr.bin/diff/Makefile projects/bhyve_svm/gnu/usr.bin/gdb/Makefile.inc projects/bhyve_svm/gnu/usr.bin/gdb/arch/amd64/config.h projects/bhyve_svm/gnu/usr.bin/gdb/arch/arm/config.h projects/bhyve_svm/gnu/usr.bin/gdb/arch/i386/config.h projects/bhyve_svm/gnu/usr.bin/gdb/arch/ia64/config.h projects/bhyve_svm/gnu/usr.bin/gdb/arch/mips/config.h projects/bhyve_svm/gnu/usr.bin/gdb/arch/powerpc/config.h projects/bhyve_svm/gnu/usr.bin/gdb/arch/powerpc64/config.h projects/bhyve_svm/gnu/usr.bin/gdb/arch/sparc64/config.h projects/bhyve_svm/gnu/usr.bin/gdb/gdb/Makefile projects/bhyve_svm/gnu/usr.bin/gdb/kgdb/trgt_mips.c projects/bhyve_svm/gnu/usr.bin/gdb/libgdb/Makefile projects/bhyve_svm/gnu/usr.bin/grep/dfa.c projects/bhyve_svm/gnu/usr.bin/grep/grep.c projects/bhyve_svm/gnu/usr.bin/grep/search.c projects/bhyve_svm/gnu/usr.bin/groff/tmac/mdoc.local projects/bhyve_svm/gnu/usr.bin/patch/Makefile projects/bhyve_svm/gnu/usr.bin/patch/pch.c projects/bhyve_svm/include/Makefile projects/bhyve_svm/include/arpa/tftp.h projects/bhyve_svm/include/complex.h projects/bhyve_svm/include/fts.h projects/bhyve_svm/include/gssapi/gssapi.h projects/bhyve_svm/include/iconv.h projects/bhyve_svm/include/ifaddrs.h projects/bhyve_svm/include/malloc_np.h projects/bhyve_svm/include/paths.h projects/bhyve_svm/include/protocols/rwhod.h projects/bhyve_svm/include/protocols/timed.h projects/bhyve_svm/include/rpcsvc/ypxfrd.x projects/bhyve_svm/include/stdlib.h projects/bhyve_svm/include/stringlist.h projects/bhyve_svm/include/tgmath.h projects/bhyve_svm/include/unistd.h projects/bhyve_svm/include/xlocale/Makefile projects/bhyve_svm/kerberos5/lib/libgssapi_krb5/pname_to_uid.c projects/bhyve_svm/lib/Makefile projects/bhyve_svm/lib/bind/config.h projects/bhyve_svm/lib/bind/dns/code.h projects/bhyve_svm/lib/bind/dns/dns/enumtype.h projects/bhyve_svm/lib/bind/dns/dns/rdatastruct.h projects/bhyve_svm/lib/bind/isc/Makefile projects/bhyve_svm/lib/clang/Makefile projects/bhyve_svm/lib/clang/clang.build.mk projects/bhyve_svm/lib/clang/include/Makefile projects/bhyve_svm/lib/clang/include/clang/Basic/Version.inc projects/bhyve_svm/lib/clang/include/llvm/Config/config.h projects/bhyve_svm/lib/clang/include/llvm/Config/llvm-config.h projects/bhyve_svm/lib/clang/libclanganalysis/Makefile projects/bhyve_svm/lib/clang/libclangarcmigrate/Makefile projects/bhyve_svm/lib/clang/libclangast/Makefile projects/bhyve_svm/lib/clang/libclangbasic/Makefile projects/bhyve_svm/lib/clang/libclangcodegen/Makefile projects/bhyve_svm/lib/clang/libclangedit/Makefile projects/bhyve_svm/lib/clang/libclangfrontend/Makefile projects/bhyve_svm/lib/clang/libclanglex/Makefile projects/bhyve_svm/lib/clang/libclangparse/Makefile projects/bhyve_svm/lib/clang/libclangrewritefrontend/Makefile projects/bhyve_svm/lib/clang/libclangsema/Makefile projects/bhyve_svm/lib/clang/libclangserialization/Makefile projects/bhyve_svm/lib/clang/libclangstaticanalyzercheckers/Makefile projects/bhyve_svm/lib/clang/libclangstaticanalyzercore/Makefile projects/bhyve_svm/lib/clang/libclangstaticanalyzerfrontend/Makefile projects/bhyve_svm/lib/clang/libllvmanalysis/Makefile projects/bhyve_svm/lib/clang/libllvmarmasmparser/Makefile projects/bhyve_svm/lib/clang/libllvmarmcodegen/Makefile projects/bhyve_svm/lib/clang/libllvmarmdesc/Makefile projects/bhyve_svm/lib/clang/libllvmarmdisassembler/Makefile projects/bhyve_svm/lib/clang/libllvmasmprinter/Makefile projects/bhyve_svm/lib/clang/libllvmbitreader/Makefile projects/bhyve_svm/lib/clang/libllvmbitwriter/Makefile projects/bhyve_svm/lib/clang/libllvmcodegen/Makefile projects/bhyve_svm/lib/clang/libllvmcore/Makefile projects/bhyve_svm/lib/clang/libllvmdebuginfo/Makefile projects/bhyve_svm/lib/clang/libllvminstrumentation/Makefile projects/bhyve_svm/lib/clang/libllvmipa/Makefile projects/bhyve_svm/lib/clang/libllvmlinker/Makefile projects/bhyve_svm/lib/clang/libllvmmc/Makefile projects/bhyve_svm/lib/clang/libllvmmcdisassembler/Makefile projects/bhyve_svm/lib/clang/libllvmmcjit/Makefile projects/bhyve_svm/lib/clang/libllvmmipscodegen/Makefile projects/bhyve_svm/lib/clang/libllvmmipsdesc/Makefile projects/bhyve_svm/lib/clang/libllvmmipsdisassembler/Makefile projects/bhyve_svm/lib/clang/libllvmobject/Makefile projects/bhyve_svm/lib/clang/libllvmpowerpccodegen/Makefile projects/bhyve_svm/lib/clang/libllvmscalaropts/Makefile projects/bhyve_svm/lib/clang/libllvmsupport/Makefile projects/bhyve_svm/lib/clang/libllvmtablegen/Makefile projects/bhyve_svm/lib/clang/libllvmtarget/Makefile projects/bhyve_svm/lib/clang/libllvmtransformutils/Makefile projects/bhyve_svm/lib/clang/libllvmvectorize/Makefile projects/bhyve_svm/lib/clang/libllvmx86asmparser/Makefile projects/bhyve_svm/lib/clang/libllvmx86codegen/Makefile projects/bhyve_svm/lib/clang/libllvmx86disassembler/Makefile projects/bhyve_svm/lib/csu/Makefile.inc projects/bhyve_svm/lib/libc++/Makefile projects/bhyve_svm/lib/libc/Makefile projects/bhyve_svm/lib/libc/amd64/gen/getcontextx.c projects/bhyve_svm/lib/libc/arm/gen/Makefile.inc projects/bhyve_svm/lib/libc/arm/gen/_setjmp.S projects/bhyve_svm/lib/libc/arm/gen/getcontextx.c projects/bhyve_svm/lib/libc/arm/gen/setjmp.S projects/bhyve_svm/lib/libc/arm/gen/sigsetjmp.S projects/bhyve_svm/lib/libc/gen/Symbol.map projects/bhyve_svm/lib/libc/gen/dl_iterate_phdr.3 projects/bhyve_svm/lib/libc/gen/errlst.c projects/bhyve_svm/lib/libc/gen/fts-compat.h projects/bhyve_svm/lib/libc/gen/fts.3 projects/bhyve_svm/lib/libc/gen/glob.c projects/bhyve_svm/lib/libc/gen/popen.3 projects/bhyve_svm/lib/libc/gen/popen.c projects/bhyve_svm/lib/libc/gen/posix_spawn_file_actions_addopen.3 projects/bhyve_svm/lib/libc/gen/pututxline.c projects/bhyve_svm/lib/libc/gen/sem_wait.3 projects/bhyve_svm/lib/libc/gen/siginterrupt.c projects/bhyve_svm/lib/libc/gen/signal.c projects/bhyve_svm/lib/libc/gen/stringlist.3 projects/bhyve_svm/lib/libc/gen/stringlist.c projects/bhyve_svm/lib/libc/gen/sysconf.3 projects/bhyve_svm/lib/libc/gen/sysctl.3 projects/bhyve_svm/lib/libc/gen/wordexp.c projects/bhyve_svm/lib/libc/i386/gen/getcontextx.c projects/bhyve_svm/lib/libc/i386/string/memchr.S projects/bhyve_svm/lib/libc/i386/string/strchr.S projects/bhyve_svm/lib/libc/i386/string/strrchr.S projects/bhyve_svm/lib/libc/ia64/gen/getcontextx.c projects/bhyve_svm/lib/libc/iconv/Symbol.map projects/bhyve_svm/lib/libc/iconv/citrus_csmapper.c projects/bhyve_svm/lib/libc/iconv/citrus_iconv.c projects/bhyve_svm/lib/libc/iconv/citrus_iconv.h projects/bhyve_svm/lib/libc/iconv/citrus_iconv_local.h projects/bhyve_svm/lib/libc/iconv/citrus_lock.h projects/bhyve_svm/lib/libc/iconv/citrus_mapper.c projects/bhyve_svm/lib/libc/iconv/citrus_mapper_local.h projects/bhyve_svm/lib/libc/iconv/citrus_none.c projects/bhyve_svm/lib/libc/iconv/citrus_stdenc.h projects/bhyve_svm/lib/libc/iconv/citrus_stdenc_local.h projects/bhyve_svm/lib/libc/iconv/citrus_stdenc_template.h projects/bhyve_svm/lib/libc/iconv/iconv.c projects/bhyve_svm/lib/libc/locale/Makefile.inc projects/bhyve_svm/lib/libc/locale/Symbol.map projects/bhyve_svm/lib/libc/locale/duplocale.3 projects/bhyve_svm/lib/libc/locale/freelocale.3 projects/bhyve_svm/lib/libc/locale/mbrtowc.3 projects/bhyve_svm/lib/libc/locale/newlocale.3 projects/bhyve_svm/lib/libc/locale/querylocale.3 projects/bhyve_svm/lib/libc/locale/uselocale.3 projects/bhyve_svm/lib/libc/locale/wcrtomb.3 projects/bhyve_svm/lib/libc/locale/xlocale.3 projects/bhyve_svm/lib/libc/locale/xlocale_private.h projects/bhyve_svm/lib/libc/mips/gen/getcontextx.c projects/bhyve_svm/lib/libc/mips/gen/longjmp.c projects/bhyve_svm/lib/libc/mips/net/htonl.S projects/bhyve_svm/lib/libc/mips/net/htons.S projects/bhyve_svm/lib/libc/mips/net/ntohl.S projects/bhyve_svm/lib/libc/mips/net/ntohs.S projects/bhyve_svm/lib/libc/net/getaddrinfo.3 projects/bhyve_svm/lib/libc/net/getifaddrs.3 projects/bhyve_svm/lib/libc/net/getifmaddrs.3 projects/bhyve_svm/lib/libc/net/getifmaddrs.c projects/bhyve_svm/lib/libc/net/getnameinfo.3 projects/bhyve_svm/lib/libc/net/name6.c projects/bhyve_svm/lib/libc/net/nsdispatch.c projects/bhyve_svm/lib/libc/net/nslexer.l projects/bhyve_svm/lib/libc/net/nsparser.y projects/bhyve_svm/lib/libc/net/recv.c projects/bhyve_svm/lib/libc/net/sctp_bindx.3 projects/bhyve_svm/lib/libc/net/sctp_connectx.3 projects/bhyve_svm/lib/libc/net/sctp_freepaddrs.3 projects/bhyve_svm/lib/libc/net/sctp_getaddrlen.3 projects/bhyve_svm/lib/libc/net/sctp_getassocid.3 projects/bhyve_svm/lib/libc/net/sctp_getpaddrs.3 projects/bhyve_svm/lib/libc/net/sctp_opt_info.3 projects/bhyve_svm/lib/libc/net/sctp_recvmsg.3 projects/bhyve_svm/lib/libc/net/sctp_send.3 projects/bhyve_svm/lib/libc/net/sctp_sendmsg.3 projects/bhyve_svm/lib/libc/net/sctp_sys_calls.c projects/bhyve_svm/lib/libc/net/send.c projects/bhyve_svm/lib/libc/nls/C.msg projects/bhyve_svm/lib/libc/nls/fr_FR.ISO8859-1.msg projects/bhyve_svm/lib/libc/posix1e/acl_is_trivial_np.3 projects/bhyve_svm/lib/libc/powerpc/gen/getcontextx.c projects/bhyve_svm/lib/libc/powerpc64/gen/fpgetmask.c projects/bhyve_svm/lib/libc/powerpc64/gen/fpgetround.c projects/bhyve_svm/lib/libc/powerpc64/gen/fpgetsticky.c projects/bhyve_svm/lib/libc/powerpc64/gen/fpsetmask.c projects/bhyve_svm/lib/libc/powerpc64/gen/fpsetround.c projects/bhyve_svm/lib/libc/powerpc64/gen/getcontextx.c projects/bhyve_svm/lib/libc/sparc64/gen/getcontextx.c projects/bhyve_svm/lib/libc/sparc64/gen/makecontext.c projects/bhyve_svm/lib/libc/sparc64/gen/signalcontext.c projects/bhyve_svm/lib/libc/stdio/asprintf.c projects/bhyve_svm/lib/libc/stdio/clrerr.c projects/bhyve_svm/lib/libc/stdio/fclose.c projects/bhyve_svm/lib/libc/stdio/fdopen.c projects/bhyve_svm/lib/libc/stdio/feof.c projects/bhyve_svm/lib/libc/stdio/ferror.c projects/bhyve_svm/lib/libc/stdio/fflush.c projects/bhyve_svm/lib/libc/stdio/fgetc.c projects/bhyve_svm/lib/libc/stdio/fgetln.c projects/bhyve_svm/lib/libc/stdio/fgetpos.c projects/bhyve_svm/lib/libc/stdio/fgets.c projects/bhyve_svm/lib/libc/stdio/fileno.c projects/bhyve_svm/lib/libc/stdio/findfp.c projects/bhyve_svm/lib/libc/stdio/flags.c projects/bhyve_svm/lib/libc/stdio/fopen.c projects/bhyve_svm/lib/libc/stdio/fprintf.c projects/bhyve_svm/lib/libc/stdio/fpurge.c projects/bhyve_svm/lib/libc/stdio/fputc.c projects/bhyve_svm/lib/libc/stdio/fputs.c projects/bhyve_svm/lib/libc/stdio/fread.c projects/bhyve_svm/lib/libc/stdio/freopen.c projects/bhyve_svm/lib/libc/stdio/fscanf.c projects/bhyve_svm/lib/libc/stdio/fseek.c projects/bhyve_svm/lib/libc/stdio/fsetpos.c projects/bhyve_svm/lib/libc/stdio/ftell.c projects/bhyve_svm/lib/libc/stdio/funopen.c projects/bhyve_svm/lib/libc/stdio/fvwrite.c projects/bhyve_svm/lib/libc/stdio/fwalk.c projects/bhyve_svm/lib/libc/stdio/fwrite.c projects/bhyve_svm/lib/libc/stdio/getc.c projects/bhyve_svm/lib/libc/stdio/getchar.c projects/bhyve_svm/lib/libc/stdio/gets.c projects/bhyve_svm/lib/libc/stdio/getw.c projects/bhyve_svm/lib/libc/stdio/makebuf.c projects/bhyve_svm/lib/libc/stdio/mktemp.3 projects/bhyve_svm/lib/libc/stdio/mktemp.c projects/bhyve_svm/lib/libc/stdio/perror.c projects/bhyve_svm/lib/libc/stdio/printf-pos.c projects/bhyve_svm/lib/libc/stdio/printf.c projects/bhyve_svm/lib/libc/stdio/putc.c projects/bhyve_svm/lib/libc/stdio/putchar.c projects/bhyve_svm/lib/libc/stdio/puts.c projects/bhyve_svm/lib/libc/stdio/putw.c projects/bhyve_svm/lib/libc/stdio/refill.c projects/bhyve_svm/lib/libc/stdio/remove.c projects/bhyve_svm/lib/libc/stdio/rewind.c projects/bhyve_svm/lib/libc/stdio/rget.c projects/bhyve_svm/lib/libc/stdio/scanf.c projects/bhyve_svm/lib/libc/stdio/setbuf.c projects/bhyve_svm/lib/libc/stdio/setbuffer.c projects/bhyve_svm/lib/libc/stdio/setvbuf.c projects/bhyve_svm/lib/libc/stdio/snprintf.c projects/bhyve_svm/lib/libc/stdio/sprintf.c projects/bhyve_svm/lib/libc/stdio/sscanf.c projects/bhyve_svm/lib/libc/stdio/stdio.c projects/bhyve_svm/lib/libc/stdio/tempnam.c projects/bhyve_svm/lib/libc/stdio/tmpfile.c projects/bhyve_svm/lib/libc/stdio/tmpnam.c projects/bhyve_svm/lib/libc/stdio/ungetc.c projects/bhyve_svm/lib/libc/stdio/vfprintf.c projects/bhyve_svm/lib/libc/stdio/vfscanf.c projects/bhyve_svm/lib/libc/stdio/vfwprintf.c projects/bhyve_svm/lib/libc/stdio/vfwscanf.c projects/bhyve_svm/lib/libc/stdio/vprintf.c projects/bhyve_svm/lib/libc/stdio/vscanf.c projects/bhyve_svm/lib/libc/stdio/vsnprintf.c projects/bhyve_svm/lib/libc/stdio/vsprintf.c projects/bhyve_svm/lib/libc/stdio/vsscanf.c projects/bhyve_svm/lib/libc/stdio/vswscanf.c projects/bhyve_svm/lib/libc/stdio/wbuf.c projects/bhyve_svm/lib/libc/stdio/wsetup.c projects/bhyve_svm/lib/libc/stdlib/abort.3 projects/bhyve_svm/lib/libc/stdlib/abort.c projects/bhyve_svm/lib/libc/stdlib/abs.3 projects/bhyve_svm/lib/libc/stdlib/abs.c projects/bhyve_svm/lib/libc/stdlib/alloca.3 projects/bhyve_svm/lib/libc/stdlib/atexit.3 projects/bhyve_svm/lib/libc/stdlib/atexit.c projects/bhyve_svm/lib/libc/stdlib/atexit.h projects/bhyve_svm/lib/libc/stdlib/atof.3 projects/bhyve_svm/lib/libc/stdlib/atof.c projects/bhyve_svm/lib/libc/stdlib/atoi.3 projects/bhyve_svm/lib/libc/stdlib/atoi.c projects/bhyve_svm/lib/libc/stdlib/atol.3 projects/bhyve_svm/lib/libc/stdlib/atol.c projects/bhyve_svm/lib/libc/stdlib/atoll.c projects/bhyve_svm/lib/libc/stdlib/bsearch.3 projects/bhyve_svm/lib/libc/stdlib/bsearch.c projects/bhyve_svm/lib/libc/stdlib/div.3 projects/bhyve_svm/lib/libc/stdlib/div.c projects/bhyve_svm/lib/libc/stdlib/exit.3 projects/bhyve_svm/lib/libc/stdlib/exit.c projects/bhyve_svm/lib/libc/stdlib/getenv.3 projects/bhyve_svm/lib/libc/stdlib/getenv.c projects/bhyve_svm/lib/libc/stdlib/getopt.3 projects/bhyve_svm/lib/libc/stdlib/getopt.c projects/bhyve_svm/lib/libc/stdlib/getsubopt.3 projects/bhyve_svm/lib/libc/stdlib/getsubopt.c projects/bhyve_svm/lib/libc/stdlib/heapsort.c projects/bhyve_svm/lib/libc/stdlib/jemalloc/Symbol.map projects/bhyve_svm/lib/libc/stdlib/labs.3 projects/bhyve_svm/lib/libc/stdlib/labs.c projects/bhyve_svm/lib/libc/stdlib/ldiv.3 projects/bhyve_svm/lib/libc/stdlib/ldiv.c projects/bhyve_svm/lib/libc/stdlib/lsearch.3 projects/bhyve_svm/lib/libc/stdlib/memory.3 projects/bhyve_svm/lib/libc/stdlib/merge.c projects/bhyve_svm/lib/libc/stdlib/qsort.3 projects/bhyve_svm/lib/libc/stdlib/qsort.c projects/bhyve_svm/lib/libc/stdlib/radixsort.3 projects/bhyve_svm/lib/libc/stdlib/radixsort.c projects/bhyve_svm/lib/libc/stdlib/rand.3 projects/bhyve_svm/lib/libc/stdlib/rand.c projects/bhyve_svm/lib/libc/stdlib/random.3 projects/bhyve_svm/lib/libc/stdlib/random.c projects/bhyve_svm/lib/libc/stdlib/realpath.3 projects/bhyve_svm/lib/libc/stdlib/realpath.c projects/bhyve_svm/lib/libc/stdlib/strtod.3 projects/bhyve_svm/lib/libc/stdlib/strtoimax.c projects/bhyve_svm/lib/libc/stdlib/strtol.3 projects/bhyve_svm/lib/libc/stdlib/strtol.c projects/bhyve_svm/lib/libc/stdlib/strtoll.c projects/bhyve_svm/lib/libc/stdlib/strtoq.c projects/bhyve_svm/lib/libc/stdlib/strtoul.3 projects/bhyve_svm/lib/libc/stdlib/strtoul.c projects/bhyve_svm/lib/libc/stdlib/strtoull.c projects/bhyve_svm/lib/libc/stdlib/strtoumax.c projects/bhyve_svm/lib/libc/stdlib/strtouq.c projects/bhyve_svm/lib/libc/stdlib/system.3 projects/bhyve_svm/lib/libc/stdlib/system.c projects/bhyve_svm/lib/libc/string/bcmp.3 projects/bhyve_svm/lib/libc/string/bcmp.c projects/bhyve_svm/lib/libc/string/bcopy.3 projects/bhyve_svm/lib/libc/string/bcopy.c projects/bhyve_svm/lib/libc/string/bstring.3 projects/bhyve_svm/lib/libc/string/bzero.3 projects/bhyve_svm/lib/libc/string/ffs.3 projects/bhyve_svm/lib/libc/string/ffs.c projects/bhyve_svm/lib/libc/string/ffsl.c projects/bhyve_svm/lib/libc/string/ffsll.c projects/bhyve_svm/lib/libc/string/fls.c projects/bhyve_svm/lib/libc/string/flsl.c projects/bhyve_svm/lib/libc/string/flsll.c projects/bhyve_svm/lib/libc/string/index.3 projects/bhyve_svm/lib/libc/string/memccpy.3 projects/bhyve_svm/lib/libc/string/memccpy.c projects/bhyve_svm/lib/libc/string/memchr.3 projects/bhyve_svm/lib/libc/string/memchr.c projects/bhyve_svm/lib/libc/string/memcmp.3 projects/bhyve_svm/lib/libc/string/memcmp.c projects/bhyve_svm/lib/libc/string/memcpy.3 projects/bhyve_svm/lib/libc/string/memmove.3 projects/bhyve_svm/lib/libc/string/memset.3 projects/bhyve_svm/lib/libc/string/memset.c projects/bhyve_svm/lib/libc/string/strcasecmp.3 projects/bhyve_svm/lib/libc/string/strcasecmp.c projects/bhyve_svm/lib/libc/string/strcasestr.c projects/bhyve_svm/lib/libc/string/strcat.3 projects/bhyve_svm/lib/libc/string/strcat.c projects/bhyve_svm/lib/libc/string/strchr.3 projects/bhyve_svm/lib/libc/string/strchr.c projects/bhyve_svm/lib/libc/string/strcmp.3 projects/bhyve_svm/lib/libc/string/strcmp.c projects/bhyve_svm/lib/libc/string/strcoll.3 projects/bhyve_svm/lib/libc/string/strcpy.3 projects/bhyve_svm/lib/libc/string/strcpy.c projects/bhyve_svm/lib/libc/string/strcspn.3 projects/bhyve_svm/lib/libc/string/strdup.3 projects/bhyve_svm/lib/libc/string/strdup.c projects/bhyve_svm/lib/libc/string/strerror.3 projects/bhyve_svm/lib/libc/string/strerror.c projects/bhyve_svm/lib/libc/string/string.3 projects/bhyve_svm/lib/libc/string/strlen.3 projects/bhyve_svm/lib/libc/string/strmode.3 projects/bhyve_svm/lib/libc/string/strmode.c projects/bhyve_svm/lib/libc/string/strncat.c projects/bhyve_svm/lib/libc/string/strncmp.c projects/bhyve_svm/lib/libc/string/strncpy.c projects/bhyve_svm/lib/libc/string/strndup.c projects/bhyve_svm/lib/libc/string/strnstr.c projects/bhyve_svm/lib/libc/string/strpbrk.3 projects/bhyve_svm/lib/libc/string/strpbrk.c projects/bhyve_svm/lib/libc/string/strrchr.c projects/bhyve_svm/lib/libc/string/strsep.3 projects/bhyve_svm/lib/libc/string/strsep.c projects/bhyve_svm/lib/libc/string/strsignal.c projects/bhyve_svm/lib/libc/string/strspn.3 projects/bhyve_svm/lib/libc/string/strstr.3 projects/bhyve_svm/lib/libc/string/strstr.c projects/bhyve_svm/lib/libc/string/strtok.3 projects/bhyve_svm/lib/libc/string/strtok.c projects/bhyve_svm/lib/libc/string/strxfrm.3 projects/bhyve_svm/lib/libc/string/swab.3 projects/bhyve_svm/lib/libc/string/swab.c projects/bhyve_svm/lib/libc/string/wcscmp.c projects/bhyve_svm/lib/libc/string/wcscoll.3 projects/bhyve_svm/lib/libc/string/wcsncmp.c projects/bhyve_svm/lib/libc/string/wcsncpy.c projects/bhyve_svm/lib/libc/string/wcsstr.c projects/bhyve_svm/lib/libc/string/wcstok.c projects/bhyve_svm/lib/libc/string/wcswidth.c projects/bhyve_svm/lib/libc/string/wcsxfrm.3 projects/bhyve_svm/lib/libc/string/wmemchr.3 projects/bhyve_svm/lib/libc/sys/Makefile.inc projects/bhyve_svm/lib/libc/sys/Symbol.map projects/bhyve_svm/lib/libc/sys/accept.2 projects/bhyve_svm/lib/libc/sys/cap_rights_limit.2 projects/bhyve_svm/lib/libc/sys/cpuset.2 projects/bhyve_svm/lib/libc/sys/cpuset_getaffinity.2 projects/bhyve_svm/lib/libc/sys/dup.2 projects/bhyve_svm/lib/libc/sys/extattr_get_file.2 projects/bhyve_svm/lib/libc/sys/fork.2 projects/bhyve_svm/lib/libc/sys/getdtablesize.2 projects/bhyve_svm/lib/libc/sys/getsockopt.2 projects/bhyve_svm/lib/libc/sys/intro.2 projects/bhyve_svm/lib/libc/sys/kqueue.2 projects/bhyve_svm/lib/libc/sys/pipe.2 projects/bhyve_svm/lib/libc/sys/ptrace.2 projects/bhyve_svm/lib/libc/sys/sctp_generic_recvmsg.2 projects/bhyve_svm/lib/libc/sys/sctp_generic_sendmsg.2 projects/bhyve_svm/lib/libc/sys/sctp_peeloff.2 projects/bhyve_svm/lib/libc/sys/setfib.2 projects/bhyve_svm/lib/libc/sys/sigaction.2 projects/bhyve_svm/lib/libc/sys/sigreturn.2 projects/bhyve_svm/lib/libcam/camlib.c projects/bhyve_svm/lib/libcompiler_rt/Makefile projects/bhyve_svm/lib/libdwarf/dwarf_init.c projects/bhyve_svm/lib/libdwarf/dwarf_loc.c projects/bhyve_svm/lib/libfetch/common.c projects/bhyve_svm/lib/libfetch/common.h projects/bhyve_svm/lib/libfetch/fetch.3 projects/bhyve_svm/lib/libfetch/fetch.c projects/bhyve_svm/lib/libfetch/http.c projects/bhyve_svm/lib/libgeom/geom_xml2tree.c projects/bhyve_svm/lib/libgeom/libgeom.h projects/bhyve_svm/lib/libiconv_modules/BIG5/citrus_big5.c projects/bhyve_svm/lib/libiconv_modules/DECHanyu/citrus_dechanyu.c projects/bhyve_svm/lib/libiconv_modules/EUC/citrus_euc.c projects/bhyve_svm/lib/libiconv_modules/EUCTW/citrus_euctw.c projects/bhyve_svm/lib/libiconv_modules/GBK2K/citrus_gbk2k.c projects/bhyve_svm/lib/libiconv_modules/HZ/citrus_hz.c projects/bhyve_svm/lib/libiconv_modules/ISO2022/citrus_iso2022.c projects/bhyve_svm/lib/libiconv_modules/JOHAB/citrus_johab.c projects/bhyve_svm/lib/libiconv_modules/MSKanji/citrus_mskanji.c projects/bhyve_svm/lib/libiconv_modules/UES/citrus_ues.c projects/bhyve_svm/lib/libiconv_modules/UTF1632/citrus_utf1632.c projects/bhyve_svm/lib/libiconv_modules/UTF7/citrus_utf7.c projects/bhyve_svm/lib/libiconv_modules/UTF8/citrus_utf8.c projects/bhyve_svm/lib/libiconv_modules/VIQR/citrus_viqr.c projects/bhyve_svm/lib/libiconv_modules/ZW/citrus_zw.c projects/bhyve_svm/lib/libiconv_modules/iconv_none/citrus_iconv_none.c projects/bhyve_svm/lib/libiconv_modules/iconv_std/citrus_iconv_std.c projects/bhyve_svm/lib/libipsec/policy_token.l projects/bhyve_svm/lib/libkvm/Makefile projects/bhyve_svm/lib/libkvm/kvm.c projects/bhyve_svm/lib/libkvm/kvm.h projects/bhyve_svm/lib/libkvm/kvm_getpcpu.3 projects/bhyve_svm/lib/libkvm/kvm_mips.c projects/bhyve_svm/lib/libkvm/kvm_pcpu.c projects/bhyve_svm/lib/libldns/Makefile projects/bhyve_svm/lib/libmemstat/memstat_uma.c projects/bhyve_svm/lib/libpam/Makefile.inc projects/bhyve_svm/lib/libpam/modules/pam_unix/pam_unix.c projects/bhyve_svm/lib/libpcap/config.h projects/bhyve_svm/lib/libpmc/Makefile projects/bhyve_svm/lib/libprocstat/Makefile projects/bhyve_svm/lib/libprocstat/Symbol.map projects/bhyve_svm/lib/libprocstat/common_kvm.h projects/bhyve_svm/lib/libprocstat/libprocstat.3 projects/bhyve_svm/lib/libprocstat/libprocstat.c projects/bhyve_svm/lib/libprocstat/libprocstat.h projects/bhyve_svm/lib/libprocstat/libprocstat_internal.h projects/bhyve_svm/lib/libradius/radius.conf.5 projects/bhyve_svm/lib/librt/sigev_thread.c projects/bhyve_svm/lib/libsbuf/Symbol.map projects/bhyve_svm/lib/libsbuf/Version.def projects/bhyve_svm/lib/libstand/Makefile projects/bhyve_svm/lib/libstand/ext2fs.c projects/bhyve_svm/lib/libstand/mips/_setjmp.S projects/bhyve_svm/lib/libstand/nfs.c projects/bhyve_svm/lib/libtacplus/libtacplus.3 projects/bhyve_svm/lib/libtacplus/tacplus.conf.5 projects/bhyve_svm/lib/libthr/pthread.map projects/bhyve_svm/lib/libthr/thread/thr_cancel.c projects/bhyve_svm/lib/libthr/thread/thr_private.h projects/bhyve_svm/lib/libthr/thread/thr_rtld.c projects/bhyve_svm/lib/libthr/thread/thr_sig.c projects/bhyve_svm/lib/libthr/thread/thr_spec.c projects/bhyve_svm/lib/libthr/thread/thr_syscalls.c projects/bhyve_svm/lib/libthr/thread/thr_umtx.h projects/bhyve_svm/lib/libthread_db/arch/sparc64/libpthread_md.c projects/bhyve_svm/lib/libusb/Makefile projects/bhyve_svm/lib/libusb/libusb.3 projects/bhyve_svm/lib/libusb/libusb.h projects/bhyve_svm/lib/libusb/libusb10.c projects/bhyve_svm/lib/libusb/libusb10_io.c projects/bhyve_svm/lib/libusb/libusb20.3 projects/bhyve_svm/lib/libusb/libusb20.c projects/bhyve_svm/lib/libusb/libusb20.h projects/bhyve_svm/lib/libusb/libusb20_int.h projects/bhyve_svm/lib/libusb/libusb20_ugen20.c projects/bhyve_svm/lib/libusb/libusb_global_linux.h projects/bhyve_svm/lib/libutil/login_times.c projects/bhyve_svm/lib/libvmmapi/vmmapi.c projects/bhyve_svm/lib/libvmmapi/vmmapi.h projects/bhyve_svm/lib/libz/ChangeLog projects/bhyve_svm/lib/libz/README projects/bhyve_svm/lib/libz/Symbol.map projects/bhyve_svm/lib/libz/Versions.def projects/bhyve_svm/lib/libz/compress.c projects/bhyve_svm/lib/libz/contrib/README.contrib projects/bhyve_svm/lib/libz/deflate.c projects/bhyve_svm/lib/libz/deflate.h projects/bhyve_svm/lib/libz/gzguts.h projects/bhyve_svm/lib/libz/gzlib.c projects/bhyve_svm/lib/libz/gzread.c projects/bhyve_svm/lib/libz/gzwrite.c projects/bhyve_svm/lib/libz/infback.c projects/bhyve_svm/lib/libz/inffast.c projects/bhyve_svm/lib/libz/inflate.c projects/bhyve_svm/lib/libz/inftrees.c projects/bhyve_svm/lib/libz/test/example.c projects/bhyve_svm/lib/libz/test/minigzip.c projects/bhyve_svm/lib/libz/trees.c projects/bhyve_svm/lib/libz/uncompr.c projects/bhyve_svm/lib/libz/zconf.h projects/bhyve_svm/lib/libz/zlib.3 projects/bhyve_svm/lib/libz/zlib.h projects/bhyve_svm/lib/libz/zutil.c projects/bhyve_svm/lib/libz/zutil.h projects/bhyve_svm/lib/msun/Makefile projects/bhyve_svm/lib/msun/Symbol.map projects/bhyve_svm/lib/msun/ld128/s_exp2l.c projects/bhyve_svm/lib/msun/ld128/s_expl.c projects/bhyve_svm/lib/msun/ld80/s_exp2l.c projects/bhyve_svm/lib/msun/ld80/s_expl.c projects/bhyve_svm/lib/msun/man/acosh.3 projects/bhyve_svm/lib/msun/man/asinh.3 projects/bhyve_svm/lib/msun/man/atanh.3 projects/bhyve_svm/lib/msun/man/ccos.3 projects/bhyve_svm/lib/msun/man/ccosh.3 projects/bhyve_svm/lib/msun/man/complex.3 projects/bhyve_svm/lib/msun/man/exp.3 projects/bhyve_svm/lib/msun/man/log.3 projects/bhyve_svm/lib/msun/src/e_acosh.c projects/bhyve_svm/lib/msun/src/e_atanh.c projects/bhyve_svm/lib/msun/src/e_exp.c projects/bhyve_svm/lib/msun/src/e_expf.c projects/bhyve_svm/lib/msun/src/e_log.c projects/bhyve_svm/lib/msun/src/e_log10.c projects/bhyve_svm/lib/msun/src/e_log10f.c projects/bhyve_svm/lib/msun/src/e_log2.c projects/bhyve_svm/lib/msun/src/e_log2f.c projects/bhyve_svm/lib/msun/src/e_logf.c projects/bhyve_svm/lib/msun/src/math.h projects/bhyve_svm/lib/msun/src/math_private.h projects/bhyve_svm/lib/msun/src/s_asinh.c projects/bhyve_svm/lib/msun/src/s_exp2.c projects/bhyve_svm/lib/msun/src/s_exp2f.c projects/bhyve_svm/lib/msun/src/s_expm1.c projects/bhyve_svm/lib/msun/src/s_expm1f.c projects/bhyve_svm/lib/msun/src/s_fma.c projects/bhyve_svm/lib/msun/src/s_fmal.c projects/bhyve_svm/lib/msun/src/s_log1p.c projects/bhyve_svm/lib/msun/src/s_log1pf.c projects/bhyve_svm/lib/msun/src/s_nearbyint.c projects/bhyve_svm/lib/ncurses/form/Makefile projects/bhyve_svm/lib/ncurses/menu/Makefile projects/bhyve_svm/lib/ncurses/panel/Makefile projects/bhyve_svm/libexec/atrun/atrun.c projects/bhyve_svm/libexec/bootpd/bootptab.5 projects/bhyve_svm/libexec/rtld-elf/rtld.c projects/bhyve_svm/release/Makefile projects/bhyve_svm/release/doc/Makefile projects/bhyve_svm/release/doc/de_DE.ISO8859-1/early-adopter/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/errata/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/hardware/alpha/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/hardware/alpha/proc-alpha.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/hardware/common/dev.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/hardware/i386/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/hardware/ia64/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/hardware/pc98/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/hardware/sparc64/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/installation/alpha/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/installation/i386/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/installation/ia64/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/installation/pc98/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/installation/sparc64/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/readme/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/relnotes/alpha/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/relnotes/common/new.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/relnotes/i386/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/relnotes/ia64/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/relnotes/pc98/article.xml projects/bhyve_svm/release/doc/de_DE.ISO8859-1/relnotes/sparc64/article.xml projects/bhyve_svm/release/doc/en_US.ISO8859-1/Makefile projects/bhyve_svm/release/doc/en_US.ISO8859-1/errata/article.xml projects/bhyve_svm/release/doc/en_US.ISO8859-1/hardware/Makefile projects/bhyve_svm/release/doc/en_US.ISO8859-1/hardware/article.xml projects/bhyve_svm/release/doc/en_US.ISO8859-1/readme/article.xml projects/bhyve_svm/release/doc/en_US.ISO8859-1/relnotes/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/early-adopter/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/errata/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/alpha/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/alpha/proc-alpha.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/common/dev.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/i386/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/i386/proc-i386.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/ia64/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/pc98/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/pc98/proc-pc98.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/sparc64/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/hardware/sparc64/proc-sparc64.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/installation/alpha/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/installation/common/install.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/installation/common/layout.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/installation/i386/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/installation/pc98/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/installation/sparc64/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/relnotes/alpha/article.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/relnotes/common/new.xml projects/bhyve_svm/release/doc/fr_FR.ISO8859-1/relnotes/i386/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/errata/article.xml (contents, props changed) projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/alpha/Makefile projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/alpha/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/amd64/Makefile projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/amd64/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/amd64/proc-amd64.xml (contents, props changed) projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/common/artheader.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/common/dev.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/common/intro.xml (contents, props changed) projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/i386/Makefile projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/i386/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/i386/proc-i386.xml (contents, props changed) projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/ia64/Makefile projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/ia64/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/ia64/proc-ia64.xml (contents, props changed) projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/pc98/Makefile projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/pc98/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/pc98/proc-pc98.xml (contents, props changed) projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/sparc64/Makefile projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/sparc64/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/hardware/sparc64/proc-sparc64.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/alpha/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/amd64/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/common/new.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/common/relnotes.ent projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/i386/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/ia64/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/pc98/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/relnotes/sparc64/article.xml projects/bhyve_svm/release/doc/ja_JP.eucJP/share/xml/catalog projects/bhyve_svm/release/doc/ru_RU.KOI8-R/errata/article.xml (contents, props changed) projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/alpha/Makefile projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/alpha/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/amd64/Makefile projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/amd64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/amd64/proc-amd64.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/common/artheader.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/common/dev.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/common/intro.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/i386/Makefile projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/i386/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/i386/proc-i386.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/ia64/Makefile projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/ia64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/ia64/proc-ia64.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/pc98/Makefile projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/pc98/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/pc98/proc-pc98.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/sparc64/Makefile projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/sparc64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/hardware/sparc64/proc-sparc64.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/alpha/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/amd64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/common/abstract.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/common/artheader.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/common/install.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/common/layout.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/common/trouble.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/common/upgrade.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/i386/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/ia64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/pc98/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/installation/sparc64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/readme/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/relnotes/alpha/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/relnotes/amd64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/relnotes/common/new.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/relnotes/i386/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/relnotes/ia64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/relnotes/pc98/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/relnotes/sparc64/article.xml projects/bhyve_svm/release/doc/ru_RU.KOI8-R/share/xml/catalog projects/bhyve_svm/release/doc/share/mk/doc.relnotes.mk projects/bhyve_svm/release/doc/share/xml/Makefile projects/bhyve_svm/release/doc/share/xml/release.ent projects/bhyve_svm/release/doc/zh_CN.GB2312/errata/article.xml projects/bhyve_svm/release/doc/zh_CN.GB2312/hardware/Makefile projects/bhyve_svm/release/doc/zh_CN.GB2312/hardware/article.xml projects/bhyve_svm/release/doc/zh_CN.GB2312/readme/article.xml projects/bhyve_svm/release/doc/zh_CN.GB2312/relnotes/article.xml projects/bhyve_svm/release/generate-release.sh projects/bhyve_svm/release/ia64/mkisoimages.sh projects/bhyve_svm/release/picobsd/build/picobsd projects/bhyve_svm/release/picobsd/floppy.tree/etc/master.passwd projects/bhyve_svm/release/sparc64/mkisoimages.sh projects/bhyve_svm/rescue/rescue/Makefile projects/bhyve_svm/sbin/Makefile projects/bhyve_svm/sbin/camcontrol/camcontrol.8 projects/bhyve_svm/sbin/camcontrol/camcontrol.c projects/bhyve_svm/sbin/camcontrol/fwdownload.c projects/bhyve_svm/sbin/devd/devd.8 projects/bhyve_svm/sbin/devd/devd.cc projects/bhyve_svm/sbin/devd/devd.h projects/bhyve_svm/sbin/devd/token.l projects/bhyve_svm/sbin/devfs/devfs.8 projects/bhyve_svm/sbin/dhclient/bpf.c projects/bhyve_svm/sbin/dhclient/clparse.c projects/bhyve_svm/sbin/dhclient/dhclient.c projects/bhyve_svm/sbin/dhclient/dhcpd.h projects/bhyve_svm/sbin/dhclient/packet.c projects/bhyve_svm/sbin/dhclient/privsep.c projects/bhyve_svm/sbin/dhclient/privsep.h projects/bhyve_svm/sbin/dmesg/dmesg.8 projects/bhyve_svm/sbin/dmesg/dmesg.c projects/bhyve_svm/sbin/dumpfs/dumpfs.8 projects/bhyve_svm/sbin/dumpfs/dumpfs.c projects/bhyve_svm/sbin/dumpon/dumpon.8 projects/bhyve_svm/sbin/etherswitchcfg/etherswitchcfg.8 projects/bhyve_svm/sbin/etherswitchcfg/etherswitchcfg.c projects/bhyve_svm/sbin/fsck_ffs/fsck.h projects/bhyve_svm/sbin/fsck_ffs/fsck_ffs.8 projects/bhyve_svm/sbin/fsck_ffs/fsutil.c projects/bhyve_svm/sbin/fsck_ffs/main.c projects/bhyve_svm/sbin/fsck_ffs/pass5.c projects/bhyve_svm/sbin/gbde/Makefile projects/bhyve_svm/sbin/geom/class/Makefile.inc projects/bhyve_svm/sbin/geom/class/eli/geli.8 projects/bhyve_svm/sbin/geom/class/label/glabel.8 projects/bhyve_svm/sbin/geom/class/nop/gnop.8 projects/bhyve_svm/sbin/geom/class/part/geom_part.c projects/bhyve_svm/sbin/geom/class/part/gpart.8 projects/bhyve_svm/sbin/geom/class/raid/graid.8 projects/bhyve_svm/sbin/geom/class/stripe/gstripe.8 projects/bhyve_svm/sbin/growfs/growfs.c projects/bhyve_svm/sbin/hastctl/Makefile projects/bhyve_svm/sbin/hastctl/hastctl.c projects/bhyve_svm/sbin/hastd/Makefile projects/bhyve_svm/sbin/hastd/control.c projects/bhyve_svm/sbin/hastd/hast.h projects/bhyve_svm/sbin/hastd/hastd.8 projects/bhyve_svm/sbin/hastd/parse.y projects/bhyve_svm/sbin/hastd/primary.c projects/bhyve_svm/sbin/hastd/refcnt.h projects/bhyve_svm/sbin/hastd/token.l projects/bhyve_svm/sbin/ifconfig/af_nd6.c projects/bhyve_svm/sbin/ifconfig/ifconfig.8 projects/bhyve_svm/sbin/ifconfig/iflagg.c projects/bhyve_svm/sbin/ipfw/ipfw.8 projects/bhyve_svm/sbin/ipfw/ipfw2.c projects/bhyve_svm/sbin/iscontrol/auth_subr.c projects/bhyve_svm/sbin/iscontrol/fsm.c projects/bhyve_svm/sbin/iscontrol/iscsi.conf.5 projects/bhyve_svm/sbin/iscontrol/login.c projects/bhyve_svm/sbin/iscontrol/misc.c projects/bhyve_svm/sbin/kldload/kldload.8 projects/bhyve_svm/sbin/md5/Makefile projects/bhyve_svm/sbin/mdconfig/mdconfig.8 projects/bhyve_svm/sbin/mdconfig/mdconfig.c projects/bhyve_svm/sbin/mount/Makefile (contents, props changed) projects/bhyve_svm/sbin/mount/mount.8 projects/bhyve_svm/sbin/mount/mount.c projects/bhyve_svm/sbin/mount_nfs/mount_nfs.8 projects/bhyve_svm/sbin/newfs/newfs.8 projects/bhyve_svm/sbin/newfs_nandfs/newfs_nandfs.c projects/bhyve_svm/sbin/nvmecontrol/Makefile projects/bhyve_svm/sbin/nvmecontrol/nvmecontrol.8 projects/bhyve_svm/sbin/nvmecontrol/nvmecontrol.c projects/bhyve_svm/sbin/ping6/ping6.8 projects/bhyve_svm/sbin/ping6/ping6.c projects/bhyve_svm/sbin/reboot/boot_i386.8 projects/bhyve_svm/sbin/recoverdisk/recoverdisk.1 projects/bhyve_svm/sbin/route/Makefile projects/bhyve_svm/sbin/route/route.c projects/bhyve_svm/sbin/routed/routed.8 projects/bhyve_svm/sbin/swapon/swapon.8 projects/bhyve_svm/sbin/swapon/swapon.c projects/bhyve_svm/sbin/tunefs/tunefs.c projects/bhyve_svm/secure/Makefile.inc projects/bhyve_svm/secure/lib/libcrypto/Makefile projects/bhyve_svm/secure/lib/libcrypto/Makefile.inc projects/bhyve_svm/share/doc/legal/Makefile projects/bhyve_svm/share/doc/psd/Makefile projects/bhyve_svm/share/examples/BSD_daemon/README projects/bhyve_svm/share/examples/Makefile projects/bhyve_svm/share/examples/etc/README.examples projects/bhyve_svm/share/examples/etc/make.conf projects/bhyve_svm/share/examples/kld/syscall/test/call.c projects/bhyve_svm/share/examples/ppi/ppilcd.c projects/bhyve_svm/share/i18n/csmapper/KAZAKH/KZ1048%UCS.src projects/bhyve_svm/share/i18n/csmapper/KAZAKH/UCS%KZ1048.src projects/bhyve_svm/share/i18n/csmapper/Makefile projects/bhyve_svm/share/i18n/esdb/Makefile projects/bhyve_svm/share/man/man3/fpgetround.3 projects/bhyve_svm/share/man/man3/offsetof.3 projects/bhyve_svm/share/man/man3/pthread_affinity_np.3 projects/bhyve_svm/share/man/man3/pthread_attr_affinity_np.3 projects/bhyve_svm/share/man/man3/pthread_getconcurrency.3 projects/bhyve_svm/share/man/man3/pthread_testcancel.3 projects/bhyve_svm/share/man/man3/queue.3 projects/bhyve_svm/share/man/man4/Makefile projects/bhyve_svm/share/man/man4/ata.4 projects/bhyve_svm/share/man/man4/ath_hal.4 projects/bhyve_svm/share/man/man4/axe.4 projects/bhyve_svm/share/man/man4/bce.4 projects/bhyve_svm/share/man/man4/bridge.4 projects/bhyve_svm/share/man/man4/ciss.4 projects/bhyve_svm/share/man/man4/cxgbe.4 projects/bhyve_svm/share/man/man4/em.4 projects/bhyve_svm/share/man/man4/filemon.4 projects/bhyve_svm/share/man/man4/hptiop.4 projects/bhyve_svm/share/man/man4/igb.4 projects/bhyve_svm/share/man/man4/ixgb.4 projects/bhyve_svm/share/man/man4/ixgbe.4 projects/bhyve_svm/share/man/man4/man4.arm/Makefile projects/bhyve_svm/share/man/man4/man4.i386/npx.4 projects/bhyve_svm/share/man/man4/man4.i386/pnp.4 projects/bhyve_svm/share/man/man4/mfi.4 projects/bhyve_svm/share/man/man4/mld.4 projects/bhyve_svm/share/man/man4/ng_tag.4 projects/bhyve_svm/share/man/man4/nvd.4 projects/bhyve_svm/share/man/man4/nvme.4 projects/bhyve_svm/share/man/man4/oce.4 projects/bhyve_svm/share/man/man4/sdhci.4 projects/bhyve_svm/share/man/man4/syscons.4 projects/bhyve_svm/share/man/man4/usb_quirk.4 projects/bhyve_svm/share/man/man4/virtio.4 projects/bhyve_svm/share/man/man4/virtio_balloon.4 projects/bhyve_svm/share/man/man4/virtio_blk.4 projects/bhyve_svm/share/man/man4/virtio_scsi.4 projects/bhyve_svm/share/man/man4/vtnet.4 projects/bhyve_svm/share/man/man5/devfs.conf.5 projects/bhyve_svm/share/man/man5/freebsd-update.conf.5 projects/bhyve_svm/share/man/man5/fstab.5 projects/bhyve_svm/share/man/man5/mailer.conf.5 projects/bhyve_svm/share/man/man5/make.conf.5 projects/bhyve_svm/share/man/man5/periodic.conf.5 projects/bhyve_svm/share/man/man5/pf.conf.5 projects/bhyve_svm/share/man/man5/portsnap.conf.5 projects/bhyve_svm/share/man/man5/procfs.5 projects/bhyve_svm/share/man/man5/rc.conf.5 projects/bhyve_svm/share/man/man5/src.conf.5 projects/bhyve_svm/share/man/man7/c99.7 projects/bhyve_svm/share/man/man7/firewall.7 projects/bhyve_svm/share/man/man7/hier.7 projects/bhyve_svm/share/man/man7/ports.7 projects/bhyve_svm/share/man/man8/rc.8 projects/bhyve_svm/share/man/man9/DELAY.9 projects/bhyve_svm/share/man/man9/Makefile projects/bhyve_svm/share/man/man9/bus_dma.9 projects/bhyve_svm/share/man/man9/hhook.9 projects/bhyve_svm/share/man/man9/ifnet.9 projects/bhyve_svm/share/man/man9/kernel_mount.9 projects/bhyve_svm/share/man/man9/locking.9 projects/bhyve_svm/share/man/man9/microuptime.9 projects/bhyve_svm/share/man/man9/pci.9 projects/bhyve_svm/share/man/man9/rmlock.9 projects/bhyve_svm/share/man/man9/rwlock.9 projects/bhyve_svm/share/man/man9/sbuf.9 projects/bhyve_svm/share/man/man9/signal.9 projects/bhyve_svm/share/man/man9/socket.9 projects/bhyve_svm/share/man/man9/vfsconf.9 projects/bhyve_svm/share/man/man9/zone.9 projects/bhyve_svm/share/misc/bsd-family-tree projects/bhyve_svm/share/misc/committers-doc.dot projects/bhyve_svm/share/misc/committers-ports.dot projects/bhyve_svm/share/misc/committers-src.dot projects/bhyve_svm/share/misc/iso639 projects/bhyve_svm/share/misc/pci_vendors projects/bhyve_svm/share/mk/bsd.cpu.mk projects/bhyve_svm/share/mk/bsd.crunchgen.mk projects/bhyve_svm/share/mk/bsd.lib.mk projects/bhyve_svm/share/mk/bsd.libnames.mk projects/bhyve_svm/share/mk/bsd.own.mk projects/bhyve_svm/share/mk/bsd.prog.mk projects/bhyve_svm/share/mk/bsd.progs.mk projects/bhyve_svm/share/mk/bsd.subdir.mk projects/bhyve_svm/share/mk/bsd.sys.mk projects/bhyve_svm/share/mk/sys.mk projects/bhyve_svm/share/monetdef/Makefile projects/bhyve_svm/share/syscons/fonts/iso04-wide-8x16.fnt projects/bhyve_svm/share/syscons/fonts/iso05-8x16.fnt projects/bhyve_svm/share/syscons/keymaps/us.dvorakp.kbd projects/bhyve_svm/sys/amd64/amd64/db_trace.c projects/bhyve_svm/sys/amd64/amd64/exception.S projects/bhyve_svm/sys/amd64/amd64/fpu.c projects/bhyve_svm/sys/amd64/amd64/genassym.c projects/bhyve_svm/sys/amd64/amd64/identcpu.c projects/bhyve_svm/sys/amd64/amd64/initcpu.c projects/bhyve_svm/sys/amd64/amd64/machdep.c projects/bhyve_svm/sys/amd64/amd64/mp_machdep.c projects/bhyve_svm/sys/amd64/amd64/pmap.c projects/bhyve_svm/sys/amd64/amd64/support.S projects/bhyve_svm/sys/amd64/amd64/sys_machdep.c projects/bhyve_svm/sys/amd64/amd64/trap.c projects/bhyve_svm/sys/amd64/amd64/vm_machdep.c projects/bhyve_svm/sys/amd64/conf/GENERIC projects/bhyve_svm/sys/amd64/conf/NOTES projects/bhyve_svm/sys/amd64/ia32/ia32_signal.c projects/bhyve_svm/sys/amd64/include/acpica_machdep.h projects/bhyve_svm/sys/amd64/include/clock.h projects/bhyve_svm/sys/amd64/include/md_var.h projects/bhyve_svm/sys/amd64/include/metadata.h projects/bhyve_svm/sys/amd64/include/param.h projects/bhyve_svm/sys/amd64/include/pcb.h projects/bhyve_svm/sys/amd64/include/pcpu.h projects/bhyve_svm/sys/amd64/include/pmap.h projects/bhyve_svm/sys/amd64/include/vmm.h (contents, props changed) projects/bhyve_svm/sys/amd64/include/vmparam.h projects/bhyve_svm/sys/amd64/include/xen/xen-os.h projects/bhyve_svm/sys/amd64/linux32/linux32_machdep.c projects/bhyve_svm/sys/amd64/linux32/linux32_sysvec.c projects/bhyve_svm/sys/amd64/vmm/intel/ept.c projects/bhyve_svm/sys/amd64/vmm/intel/vmcs.c projects/bhyve_svm/sys/amd64/vmm/intel/vmcs.h projects/bhyve_svm/sys/amd64/vmm/intel/vmx.c projects/bhyve_svm/sys/amd64/vmm/io/vlapic.c projects/bhyve_svm/sys/amd64/vmm/vmm.c projects/bhyve_svm/sys/amd64/vmm/vmm_dev.c projects/bhyve_svm/sys/amd64/vmm/vmm_instruction_emul.c projects/bhyve_svm/sys/amd64/vmm/vmm_stat.c projects/bhyve_svm/sys/amd64/vmm/vmm_stat.h projects/bhyve_svm/sys/amd64/vmm/x86.c projects/bhyve_svm/sys/arm/allwinner/a10_gpio.c projects/bhyve_svm/sys/arm/arm/bus_space_generic.c projects/bhyve_svm/sys/arm/arm/busdma_machdep-v6.c projects/bhyve_svm/sys/arm/arm/cpufunc.c projects/bhyve_svm/sys/arm/arm/cpufunc_asm_pj4b.S projects/bhyve_svm/sys/arm/arm/cpufunc_asm_sheeva.S projects/bhyve_svm/sys/arm/arm/db_trace.c projects/bhyve_svm/sys/arm/arm/elf_trampoline.c projects/bhyve_svm/sys/arm/arm/exception.S projects/bhyve_svm/sys/arm/arm/gic.c projects/bhyve_svm/sys/arm/arm/identcpu.c projects/bhyve_svm/sys/arm/arm/locore.S projects/bhyve_svm/sys/arm/arm/machdep.c projects/bhyve_svm/sys/arm/arm/mp_machdep.c projects/bhyve_svm/sys/arm/arm/mpcore_timer.c projects/bhyve_svm/sys/arm/arm/pl310.c projects/bhyve_svm/sys/arm/arm/pmap-v6.c projects/bhyve_svm/sys/arm/arm/pmap.c projects/bhyve_svm/sys/arm/arm/stack_machdep.c projects/bhyve_svm/sys/arm/arm/swtch.S projects/bhyve_svm/sys/arm/arm/trap.c projects/bhyve_svm/sys/arm/arm/vfp.c projects/bhyve_svm/sys/arm/arm/vm_machdep.c projects/bhyve_svm/sys/arm/at91/at91_pio.c projects/bhyve_svm/sys/arm/at91/at91_piovar.h projects/bhyve_svm/sys/arm/broadcom/bcm2835/bcm2835_dma.c projects/bhyve_svm/sys/arm/broadcom/bcm2835/bcm2835_fb.c projects/bhyve_svm/sys/arm/broadcom/bcm2835/bcm2835_gpio.c projects/bhyve_svm/sys/arm/broadcom/bcm2835/bcm2835_mbox.c projects/bhyve_svm/sys/arm/broadcom/bcm2835/bcm2835_mbox.h projects/bhyve_svm/sys/arm/broadcom/bcm2835/bcm2835_sdhci.c projects/bhyve_svm/sys/arm/broadcom/bcm2835/files.bcm2835 projects/bhyve_svm/sys/arm/conf/AC100 projects/bhyve_svm/sys/arm/conf/ARMADAXP projects/bhyve_svm/sys/arm/conf/ATMEL projects/bhyve_svm/sys/arm/conf/AVILA projects/bhyve_svm/sys/arm/conf/BEAGLEBONE projects/bhyve_svm/sys/arm/conf/CAMBRIA projects/bhyve_svm/sys/arm/conf/CRB projects/bhyve_svm/sys/arm/conf/CUBIEBOARD projects/bhyve_svm/sys/arm/conf/EFIKA_MX projects/bhyve_svm/sys/arm/conf/EP80219 projects/bhyve_svm/sys/arm/conf/IQ31244 projects/bhyve_svm/sys/arm/conf/RPI-B projects/bhyve_svm/sys/arm/conf/TS7800 projects/bhyve_svm/sys/arm/freescale/imx/bus_space.c projects/bhyve_svm/sys/arm/freescale/imx/common.c projects/bhyve_svm/sys/arm/freescale/imx/console.c projects/bhyve_svm/sys/arm/freescale/imx/i2c.c projects/bhyve_svm/sys/arm/freescale/imx/imx51_ccm.c projects/bhyve_svm/sys/arm/freescale/imx/imx51_ccmreg.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_ccmvar.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_dpllreg.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_gpio.c projects/bhyve_svm/sys/arm/freescale/imx/imx51_iomux.c projects/bhyve_svm/sys/arm/freescale/imx/imx51_iomuxreg.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_iomuxvar.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_ipuv3.c projects/bhyve_svm/sys/arm/freescale/imx/imx51_ipuv3reg.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_sdmareg.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_ssireg.h projects/bhyve_svm/sys/arm/freescale/imx/imx51_tzicreg.h projects/bhyve_svm/sys/arm/freescale/imx/imx_gpt.c projects/bhyve_svm/sys/arm/freescale/imx/imx_gptreg.h projects/bhyve_svm/sys/arm/freescale/imx/imx_gptvar.h projects/bhyve_svm/sys/arm/freescale/imx/imx_machdep.c projects/bhyve_svm/sys/arm/freescale/imx/imx_wdog.c projects/bhyve_svm/sys/arm/freescale/imx/imx_wdogreg.h projects/bhyve_svm/sys/arm/freescale/imx/tzic.c projects/bhyve_svm/sys/arm/include/armreg.h projects/bhyve_svm/sys/arm/include/asm.h projects/bhyve_svm/sys/arm/include/asmacros.h projects/bhyve_svm/sys/arm/include/atomic.h projects/bhyve_svm/sys/arm/include/frame.h projects/bhyve_svm/sys/arm/include/intr.h projects/bhyve_svm/sys/arm/include/kdb.h projects/bhyve_svm/sys/arm/include/param.h projects/bhyve_svm/sys/arm/include/pcpu.h projects/bhyve_svm/sys/arm/include/pmap.h projects/bhyve_svm/sys/arm/include/setjmp.h projects/bhyve_svm/sys/arm/include/smp.h projects/bhyve_svm/sys/arm/include/sysarch.h projects/bhyve_svm/sys/arm/include/vfp.h projects/bhyve_svm/sys/arm/include/vmparam.h projects/bhyve_svm/sys/arm/mv/armadaxp/armadaxp.c projects/bhyve_svm/sys/arm/mv/armadaxp/armadaxp_mp.c projects/bhyve_svm/sys/arm/mv/armadaxp/std.armadaxp projects/bhyve_svm/sys/arm/mv/common.c projects/bhyve_svm/sys/arm/mv/mv_machdep.c projects/bhyve_svm/sys/arm/mv/mvreg.h projects/bhyve_svm/sys/arm/mv/mvvar.h projects/bhyve_svm/sys/arm/mv/mvwin.h projects/bhyve_svm/sys/arm/mv/timer.c projects/bhyve_svm/sys/arm/ti/aintc.c projects/bhyve_svm/sys/arm/ti/am335x/am335x_pmic.c projects/bhyve_svm/sys/arm/ti/am335x/am335x_prcm.c projects/bhyve_svm/sys/arm/ti/am335x/files.am335x projects/bhyve_svm/sys/arm/ti/omap4/omap4_prcm_clks.c projects/bhyve_svm/sys/arm/ti/ti_machdep.c projects/bhyve_svm/sys/arm/ti/ti_mmchs.c projects/bhyve_svm/sys/arm/ti/ti_mmchs.h projects/bhyve_svm/sys/arm/ti/ti_prcm.h projects/bhyve_svm/sys/arm/ti/ti_scm.c projects/bhyve_svm/sys/arm/versatile/versatile_pci.c projects/bhyve_svm/sys/arm/versatile/versatile_sic.c projects/bhyve_svm/sys/arm/xscale/ixp425/avila_gpio.c projects/bhyve_svm/sys/arm/xscale/ixp425/cambria_gpio.c projects/bhyve_svm/sys/arm/xscale/ixp425/ixp425_npe.c projects/bhyve_svm/sys/boot/arm/at91/Makefile.inc projects/bhyve_svm/sys/boot/arm/at91/boot2/Makefile projects/bhyve_svm/sys/boot/arm/ixp425/Makefile.inc projects/bhyve_svm/sys/boot/arm/ixp425/boot2/Makefile projects/bhyve_svm/sys/boot/arm/uboot/Makefile projects/bhyve_svm/sys/boot/common/module.c projects/bhyve_svm/sys/boot/common/util.c projects/bhyve_svm/sys/boot/fdt/dts/beaglebone.dts projects/bhyve_svm/sys/boot/fdt/dts/db78460.dts projects/bhyve_svm/sys/boot/fdt/dts/pandaboard.dts projects/bhyve_svm/sys/boot/ficl/arm/sysdep.h projects/bhyve_svm/sys/boot/ficl/loader.c projects/bhyve_svm/sys/boot/ficl/tools.c projects/bhyve_svm/sys/boot/forth/loader.conf projects/bhyve_svm/sys/boot/forth/loader.rc projects/bhyve_svm/sys/boot/i386/btx/btx/btx.S projects/bhyve_svm/sys/boot/i386/gptboot/Makefile projects/bhyve_svm/sys/boot/pc98/btx/btx/btx.S projects/bhyve_svm/sys/boot/pc98/libpc98/biosdisk.c projects/bhyve_svm/sys/boot/pc98/libpc98/comconsole.c projects/bhyve_svm/sys/boot/sparc64/loader/main.c projects/bhyve_svm/sys/cam/ata/ata_all.h projects/bhyve_svm/sys/cam/ata/ata_da.c projects/bhyve_svm/sys/cam/ata/ata_pmp.c projects/bhyve_svm/sys/cam/ata/ata_xpt.c projects/bhyve_svm/sys/cam/cam.h projects/bhyve_svm/sys/cam/cam_ccb.h projects/bhyve_svm/sys/cam/cam_periph.c projects/bhyve_svm/sys/cam/cam_periph.h projects/bhyve_svm/sys/cam/cam_queue.c projects/bhyve_svm/sys/cam/cam_queue.h projects/bhyve_svm/sys/cam/cam_sim.c projects/bhyve_svm/sys/cam/cam_sim.h projects/bhyve_svm/sys/cam/cam_xpt.c projects/bhyve_svm/sys/cam/cam_xpt_internal.h projects/bhyve_svm/sys/cam/cam_xpt_periph.h projects/bhyve_svm/sys/cam/cam_xpt_sim.h projects/bhyve_svm/sys/cam/ctl/README.ctl.txt projects/bhyve_svm/sys/cam/ctl/ctl.c projects/bhyve_svm/sys/cam/ctl/ctl_backend.c projects/bhyve_svm/sys/cam/ctl/ctl_backend_block.c projects/bhyve_svm/sys/cam/ctl/ctl_backend_ramdisk.c projects/bhyve_svm/sys/cam/ctl/ctl_frontend_cam_sim.c projects/bhyve_svm/sys/cam/ctl/ctl_frontend_internal.c projects/bhyve_svm/sys/cam/ctl/scsi_ctl.c projects/bhyve_svm/sys/cam/scsi/scsi_all.c projects/bhyve_svm/sys/cam/scsi/scsi_all.h projects/bhyve_svm/sys/cam/scsi/scsi_cd.c projects/bhyve_svm/sys/cam/scsi/scsi_ch.c projects/bhyve_svm/sys/cam/scsi/scsi_ch.h projects/bhyve_svm/sys/cam/scsi/scsi_da.c projects/bhyve_svm/sys/cam/scsi/scsi_enc_ses.c projects/bhyve_svm/sys/cam/scsi/scsi_pass.c projects/bhyve_svm/sys/cam/scsi/scsi_pt.c projects/bhyve_svm/sys/cam/scsi/scsi_sa.c projects/bhyve_svm/sys/cam/scsi/scsi_sg.c projects/bhyve_svm/sys/cam/scsi/scsi_target.c projects/bhyve_svm/sys/cam/scsi/scsi_xpt.c projects/bhyve_svm/sys/cddl/compat/opensolaris/kern/opensolaris_cmn_err.c projects/bhyve_svm/sys/cddl/compat/opensolaris/sys/mutex.h projects/bhyve_svm/sys/cddl/compat/opensolaris/sys/rwlock.h projects/bhyve_svm/sys/cddl/compat/opensolaris/sys/sdt.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/common/zfs/zfs_ioctl_compat.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/common/zfs/zfs_ioctl_compat.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/common/zfs/zfs_prop.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/dtrace/dtrace.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/dtrace/fasttrap.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/arc.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/bptree.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dbuf.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/ddt.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_diff.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_object.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_objset.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_send.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_traverse.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_tx.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dmu_zfetch.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dnode.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dnode_sync.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_dataset.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_deleg.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_destroy.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_dir.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_pool.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_prop.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_scan.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_synctask.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/dsl_userhold.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/metaslab.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sa.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa_config.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa_errlog.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa_history.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa_misc.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/arc.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dbuf.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/ddt.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_impl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_objset.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dmu_send.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dnode.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_dataset.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_destroy.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_pool.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_scan.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/dsl_userhold.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/sa_impl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/spa_impl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/space_map.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/txg_impl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/unique.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/vdev.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/vdev_impl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zap.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zap_leaf.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zfs_acl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zfs_ioctl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zfs_rlock.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zfs_znode.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zil.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zio.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zio_compress.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zio_impl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zvol.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/trim_map.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/txg.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/uberblock.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_cache.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_disk.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_file.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_geom.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_label.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_mirror.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_missing.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_queue.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_raidz.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_root.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zap.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zap_leaf.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zap_micro.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfeature.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_acl.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_ctldir.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_dir.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_ioctl.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_log.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_onexit.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_replay.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_rlock.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_sa.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vfsops.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_vnops.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zfs_znode.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zil.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zio.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zio_checksum.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zio_compress.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zio_inject.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zvol.c projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/sys/dtrace.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/sys/dtrace_impl.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/sys/fm/fs/zfs.h projects/bhyve_svm/sys/cddl/contrib/opensolaris/uts/common/sys/fs/zfs.h projects/bhyve_svm/sys/cddl/dev/dtmalloc/dtmalloc.c projects/bhyve_svm/sys/cddl/dev/dtrace/amd64/dis_tables.c projects/bhyve_svm/sys/cddl/dev/dtrace/amd64/dis_tables.h projects/bhyve_svm/sys/cddl/dev/dtrace/amd64/dtrace_isa.c projects/bhyve_svm/sys/cddl/dev/dtrace/dtrace_ioctl.c projects/bhyve_svm/sys/cddl/dev/dtrace/dtrace_load.c projects/bhyve_svm/sys/cddl/dev/dtrace/dtrace_test.c projects/bhyve_svm/sys/cddl/dev/dtrace/dtrace_unload.c projects/bhyve_svm/sys/cddl/dev/dtrace/i386/dis_tables.c projects/bhyve_svm/sys/cddl/dev/dtrace/i386/dis_tables.h projects/bhyve_svm/sys/cddl/dev/sdt/sdt.c projects/bhyve_svm/sys/compat/freebsd32/freebsd32.h projects/bhyve_svm/sys/compat/freebsd32/freebsd32_misc.c projects/bhyve_svm/sys/compat/freebsd32/freebsd32_proto.h projects/bhyve_svm/sys/compat/freebsd32/freebsd32_signal.h projects/bhyve_svm/sys/compat/freebsd32/freebsd32_syscall.h projects/bhyve_svm/sys/compat/freebsd32/freebsd32_syscalls.c projects/bhyve_svm/sys/compat/freebsd32/freebsd32_sysent.c projects/bhyve_svm/sys/compat/freebsd32/freebsd32_systrace_args.c projects/bhyve_svm/sys/compat/freebsd32/syscalls.master projects/bhyve_svm/sys/compat/linprocfs/linprocfs.c projects/bhyve_svm/sys/compat/linux/linux_ioctl.c projects/bhyve_svm/sys/compat/linux/linux_ioctl.h projects/bhyve_svm/sys/conf/Makefile.amd64 projects/bhyve_svm/sys/conf/Makefile.arm projects/bhyve_svm/sys/conf/Makefile.i386 projects/bhyve_svm/sys/conf/NOTES projects/bhyve_svm/sys/conf/WITHOUT_SOURCELESS_HOST projects/bhyve_svm/sys/conf/WITHOUT_SOURCELESS_UCODE projects/bhyve_svm/sys/conf/files projects/bhyve_svm/sys/conf/files.amd64 projects/bhyve_svm/sys/conf/files.arm projects/bhyve_svm/sys/conf/files.i386 projects/bhyve_svm/sys/conf/files.ia64 projects/bhyve_svm/sys/conf/files.mips projects/bhyve_svm/sys/conf/files.pc98 projects/bhyve_svm/sys/conf/files.powerpc projects/bhyve_svm/sys/conf/files.sparc64 projects/bhyve_svm/sys/conf/kern.mk projects/bhyve_svm/sys/conf/kern.pre.mk projects/bhyve_svm/sys/conf/kmod.mk projects/bhyve_svm/sys/conf/makeLINT.mk projects/bhyve_svm/sys/conf/newvers.sh projects/bhyve_svm/sys/conf/options projects/bhyve_svm/sys/conf/options.arm projects/bhyve_svm/sys/conf/options.mips projects/bhyve_svm/sys/contrib/dev/acpica/acpica_prep.sh projects/bhyve_svm/sys/contrib/dev/acpica/changes.txt (contents, props changed) projects/bhyve_svm/sys/contrib/dev/acpica/common/adisasm.c projects/bhyve_svm/sys/contrib/dev/acpica/common/adwalk.c projects/bhyve_svm/sys/contrib/dev/acpica/common/ahpredef.c projects/bhyve_svm/sys/contrib/dev/acpica/common/dmextern.c projects/bhyve_svm/sys/contrib/dev/acpica/common/dmrestag.c projects/bhyve_svm/sys/contrib/dev/acpica/common/dmtable.c projects/bhyve_svm/sys/contrib/dev/acpica/common/dmtbdump.c projects/bhyve_svm/sys/contrib/dev/acpica/common/dmtbinfo.c projects/bhyve_svm/sys/contrib/dev/acpica/common/getopt.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslanalyze.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslbtypes.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslcodegen.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslcompile.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslcompiler.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asldefine.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslerror.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslfiles.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslfold.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslglobal.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslhex.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asllength.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asllisting.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslload.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asllookup.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslmain.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslmessages.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslmethod.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslnamesp.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslopcodes.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asloperands.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslpredef.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslprepkg.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslresource.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslrestype1i.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslrestype2s.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslstartup.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslsupport.l projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asltransform.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asltree.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/asltypes.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslutils.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslwalks.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/aslxref.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dtexpress.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dtfield.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dtio.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dtsubtable.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dttable.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dttemplate.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dttemplate.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/dtutils.c projects/bhyve_svm/sys/contrib/dev/acpica/compiler/preprocess.h projects/bhyve_svm/sys/contrib/dev/acpica/compiler/prscan.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbcmds.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbconvert.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbdisply.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbexec.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbhistry.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbinput.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbmethod.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbnames.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbstats.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbutils.c projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/dbxface.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmbuffer.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmdeferred.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmnames.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmobject.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmopcode.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmresrc.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmresrcl.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmresrcl2.c projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/dmwalk.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dscontrol.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dsfield.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dsinit.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dsmthdat.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dsobject.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dsopcode.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dsutils.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dswexec.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dswload.c projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/dswload2.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evevent.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evglock.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evgpe.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evgpeblk.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evgpeinit.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evhandler.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evmisc.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evregion.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evrgnini.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evxfgpe.c projects/bhyve_svm/sys/contrib/dev/acpica/components/events/evxfregn.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exconfig.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exconvrt.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/excreate.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exdebug.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exdump.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exfield.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exfldio.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exmisc.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exnames.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exoparg1.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exoparg2.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exoparg3.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exoparg6.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exprep.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exregion.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exresnte.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exresolv.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exresop.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exstore.c projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/exstoren.c projects/bhyve_svm/sys/contrib/dev/acpica/components/hardware/hwacpi.c projects/bhyve_svm/sys/contrib/dev/acpica/components/hardware/hwgpe.c projects/bhyve_svm/sys/contrib/dev/acpica/components/hardware/hwregs.c projects/bhyve_svm/sys/contrib/dev/acpica/components/hardware/hwtimer.c projects/bhyve_svm/sys/contrib/dev/acpica/components/hardware/hwxface.c projects/bhyve_svm/sys/contrib/dev/acpica/components/hardware/hwxfsleep.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsaccess.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsconvert.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsdump.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nseval.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsinit.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nspredef.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsprepkg.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsrepair.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsrepair2.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsutils.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nswalk.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsxfeval.c projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/nsxfname.c projects/bhyve_svm/sys/contrib/dev/acpica/components/parser/psargs.c projects/bhyve_svm/sys/contrib/dev/acpica/components/parser/psloop.c projects/bhyve_svm/sys/contrib/dev/acpica/components/parser/psobject.c projects/bhyve_svm/sys/contrib/dev/acpica/components/parser/psparse.c projects/bhyve_svm/sys/contrib/dev/acpica/components/parser/pstree.c projects/bhyve_svm/sys/contrib/dev/acpica/components/parser/psxface.c projects/bhyve_svm/sys/contrib/dev/acpica/components/resources/rscalc.c projects/bhyve_svm/sys/contrib/dev/acpica/components/resources/rscreate.c projects/bhyve_svm/sys/contrib/dev/acpica/components/resources/rsdump.c projects/bhyve_svm/sys/contrib/dev/acpica/components/resources/rsmisc.c projects/bhyve_svm/sys/contrib/dev/acpica/components/resources/rsutils.c projects/bhyve_svm/sys/contrib/dev/acpica/components/resources/rsxface.c projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/tbfadt.c projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/tbinstal.c projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/tbutils.c projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/tbxface.c projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/tbxfload.c projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/tbxfroot.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utcopy.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utdebug.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utdelete.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/uteval.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utexcep.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utglobal.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utids.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utmisc.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utmutex.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utobject.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utosi.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utstring.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/uttrack.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utxface.c projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/utxferror.c projects/bhyve_svm/sys/contrib/dev/acpica/include/acapps.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acconfig.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acdisasm.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acglobal.h projects/bhyve_svm/sys/contrib/dev/acpica/include/aclocal.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acmacros.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acnamesp.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acoutput.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acpiosxf.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acpixf.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acpredef.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acstruct.h projects/bhyve_svm/sys/contrib/dev/acpica/include/actables.h projects/bhyve_svm/sys/contrib/dev/acpica/include/actypes.h projects/bhyve_svm/sys/contrib/dev/acpica/include/acutils.h projects/bhyve_svm/sys/contrib/dev/acpica/include/platform/acenv.h projects/bhyve_svm/sys/contrib/dev/acpica/include/platform/acfreebsd.h projects/bhyve_svm/sys/contrib/dev/acpica/os_specific/service_layers/osunixxf.c projects/bhyve_svm/sys/contrib/ipfilter/netinet/ip_auth.c projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-app-init.h projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-helper-board.c projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-helper-sgmii.c projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-mgmt-port.c projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-mgmt-port.h projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-pcie.c projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-rtc.h projects/bhyve_svm/sys/contrib/octeon-sdk/cvmx-usbd.c projects/bhyve_svm/sys/crypto/sha2/sha2.c projects/bhyve_svm/sys/crypto/sha2/sha2.h projects/bhyve_svm/sys/dev/aac/aac.c projects/bhyve_svm/sys/dev/aac/aac_cam.c projects/bhyve_svm/sys/dev/aac/aac_disk.c projects/bhyve_svm/sys/dev/aac/aac_pci.c projects/bhyve_svm/sys/dev/aac/aacvar.h projects/bhyve_svm/sys/dev/acpi_support/acpi_hp.c projects/bhyve_svm/sys/dev/acpi_support/acpi_toshiba.c projects/bhyve_svm/sys/dev/acpi_support/atk0110.c projects/bhyve_svm/sys/dev/acpica/Osd/OsdTable.c projects/bhyve_svm/sys/dev/acpica/acpi.c projects/bhyve_svm/sys/dev/acpica/acpi_pci_link.c projects/bhyve_svm/sys/dev/acpica/acpi_pcib.c projects/bhyve_svm/sys/dev/acpica/acpi_pcib_acpi.c projects/bhyve_svm/sys/dev/acpica/acpi_resource.c projects/bhyve_svm/sys/dev/ae/if_ae.c projects/bhyve_svm/sys/dev/ae/if_aereg.h projects/bhyve_svm/sys/dev/age/if_age.c projects/bhyve_svm/sys/dev/ahci/ahci.c projects/bhyve_svm/sys/dev/ahci/ahci.h projects/bhyve_svm/sys/dev/ahci/ahciem.c projects/bhyve_svm/sys/dev/aic7xxx/ahc_isa.c projects/bhyve_svm/sys/dev/aic7xxx/aic7xxx.h projects/bhyve_svm/sys/dev/aic7xxx/aic7xxx_pci.c projects/bhyve_svm/sys/dev/altera/jtag_uart/altera_jtag_uart.h projects/bhyve_svm/sys/dev/arcmsr/arcmsr.c projects/bhyve_svm/sys/dev/arcmsr/arcmsr.h projects/bhyve_svm/sys/dev/ata/ata-all.c projects/bhyve_svm/sys/dev/ata/ata-all.h projects/bhyve_svm/sys/dev/ata/ata-cbus.c projects/bhyve_svm/sys/dev/ata/ata-dma.c projects/bhyve_svm/sys/dev/ata/ata-isa.c projects/bhyve_svm/sys/dev/ata/ata-lowlevel.c projects/bhyve_svm/sys/dev/ata/ata-pci.c projects/bhyve_svm/sys/dev/ata/ata-pci.h projects/bhyve_svm/sys/dev/ata/ata-sata.c projects/bhyve_svm/sys/dev/ata/ata_if.m projects/bhyve_svm/sys/dev/ata/chipsets/ata-acard.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-acerlabs.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-adaptec.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-ahci.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-amd.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-ati.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-cenatek.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-cypress.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-cyrix.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-fsl.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-highpoint.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-intel.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-ite.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-jmicron.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-marvell.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-micron.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-national.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-netcell.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-nvidia.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-promise.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-serverworks.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-siliconimage.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-sis.c projects/bhyve_svm/sys/dev/ata/chipsets/ata-via.c projects/bhyve_svm/sys/dev/ath/ath_hal/ah.c projects/bhyve_svm/sys/dev/ath/ath_hal/ah.h projects/bhyve_svm/sys/dev/ath/ath_hal/ah_debug.h projects/bhyve_svm/sys/dev/ath/ath_hal/ah_desc.h projects/bhyve_svm/sys/dev/ath/ath_hal/ah_devid.h projects/bhyve_svm/sys/dev/ath/ath_hal/ah_internal.h projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416.h projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416_attach.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416_btcoex.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416_misc.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416_recv.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416_reset.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416_xmit.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416desc.h projects/bhyve_svm/sys/dev/ath/ath_hal/ar5416/ar5416reg.h projects/bhyve_svm/sys/dev/ath/ath_hal/ar9001/ar9160_attach.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285.h projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285_attach.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285_btcoex.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285_diversity.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285_diversity.h projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285_phy.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285_phy.h projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9285_reset.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar9002/ar9287_reset.c projects/bhyve_svm/sys/dev/ath/ath_hal/ar9003/ar9300_devid.h projects/bhyve_svm/sys/dev/ath/if_ath.c projects/bhyve_svm/sys/dev/ath/if_ath_ahb.c projects/bhyve_svm/sys/dev/ath/if_ath_alq.c projects/bhyve_svm/sys/dev/ath/if_ath_alq.h projects/bhyve_svm/sys/dev/ath/if_ath_beacon.c projects/bhyve_svm/sys/dev/ath/if_ath_debug.h projects/bhyve_svm/sys/dev/ath/if_ath_misc.h projects/bhyve_svm/sys/dev/ath/if_ath_rx.c projects/bhyve_svm/sys/dev/ath/if_ath_rx.h projects/bhyve_svm/sys/dev/ath/if_ath_rx_edma.c projects/bhyve_svm/sys/dev/ath/if_ath_sysctl.c projects/bhyve_svm/sys/dev/ath/if_ath_tdma.c projects/bhyve_svm/sys/dev/ath/if_ath_tx.c projects/bhyve_svm/sys/dev/ath/if_ath_tx.h projects/bhyve_svm/sys/dev/ath/if_ath_tx_edma.c projects/bhyve_svm/sys/dev/ath/if_ath_tx_ht.c projects/bhyve_svm/sys/dev/ath/if_ath_tx_ht.h projects/bhyve_svm/sys/dev/ath/if_athioctl.h projects/bhyve_svm/sys/dev/ath/if_athrate.h projects/bhyve_svm/sys/dev/ath/if_athvar.h projects/bhyve_svm/sys/dev/atkbdc/psm.c projects/bhyve_svm/sys/dev/bce/if_bce.c projects/bhyve_svm/sys/dev/bce/if_bcefw.h projects/bhyve_svm/sys/dev/bce/if_bcereg.h projects/bhyve_svm/sys/dev/bge/if_bge.c projects/bhyve_svm/sys/dev/bge/if_bgereg.h projects/bhyve_svm/sys/dev/bktr/CHANGELOG.TXT projects/bhyve_svm/sys/dev/bktr/bktr_core.c projects/bhyve_svm/sys/dev/bktr/bktr_os.c projects/bhyve_svm/sys/dev/bktr/msp34xx.c projects/bhyve_svm/sys/dev/bwn/if_bwn.c projects/bhyve_svm/sys/dev/cas/if_casvar.h projects/bhyve_svm/sys/dev/cesa/cesa.c projects/bhyve_svm/sys/dev/cesa/cesa.h projects/bhyve_svm/sys/dev/cfi/cfi_bus_fdt.c projects/bhyve_svm/sys/dev/cfi/cfi_core.c projects/bhyve_svm/sys/dev/cfi/cfi_disk.c projects/bhyve_svm/sys/dev/cfi/cfi_reg.h projects/bhyve_svm/sys/dev/ciss/ciss.c projects/bhyve_svm/sys/dev/cp/if_cp.c projects/bhyve_svm/sys/dev/cpuctl/cpuctl.c projects/bhyve_svm/sys/dev/ctau/if_ct.c projects/bhyve_svm/sys/dev/cx/csigma.c projects/bhyve_svm/sys/dev/cx/if_cx.c projects/bhyve_svm/sys/dev/cxgb/common/cxgb_t3_hw.c projects/bhyve_svm/sys/dev/cxgb/cxgb_main.c projects/bhyve_svm/sys/dev/cxgb/sys/uipc_mvec.c projects/bhyve_svm/sys/dev/cxgbe/adapter.h projects/bhyve_svm/sys/dev/cxgbe/common/common.h projects/bhyve_svm/sys/dev/cxgbe/common/t4_hw.c projects/bhyve_svm/sys/dev/cxgbe/common/t4_hw.h projects/bhyve_svm/sys/dev/cxgbe/common/t4_msg.h projects/bhyve_svm/sys/dev/cxgbe/common/t4_regs_values.h projects/bhyve_svm/sys/dev/cxgbe/firmware/t4fw_cfg.txt projects/bhyve_svm/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt projects/bhyve_svm/sys/dev/cxgbe/firmware/t4fw_interface.h projects/bhyve_svm/sys/dev/cxgbe/offload.h projects/bhyve_svm/sys/dev/cxgbe/osdep.h projects/bhyve_svm/sys/dev/cxgbe/t4_ioctl.h projects/bhyve_svm/sys/dev/cxgbe/t4_main.c projects/bhyve_svm/sys/dev/cxgbe/t4_sge.c projects/bhyve_svm/sys/dev/cxgbe/tom/t4_connect.c projects/bhyve_svm/sys/dev/cxgbe/tom/t4_cpl_io.c projects/bhyve_svm/sys/dev/cxgbe/tom/t4_ddp.c projects/bhyve_svm/sys/dev/cxgbe/tom/t4_listen.c projects/bhyve_svm/sys/dev/cxgbe/tom/t4_tom.c projects/bhyve_svm/sys/dev/cxgbe/tom/t4_tom.h projects/bhyve_svm/sys/dev/dpt/dpt.h projects/bhyve_svm/sys/dev/dpt/dpt_scsi.c projects/bhyve_svm/sys/dev/drm/mach64_dma.c projects/bhyve_svm/sys/dev/drm2/drm_dp_iic_helper.c projects/bhyve_svm/sys/dev/drm2/drm_edid.c projects/bhyve_svm/sys/dev/drm2/i915/i915_gem.c projects/bhyve_svm/sys/dev/drm2/i915/intel_fb.c projects/bhyve_svm/sys/dev/drm2/i915/intel_iic.c projects/bhyve_svm/sys/dev/drm2/i915/intel_modes.c projects/bhyve_svm/sys/dev/drm2/i915/intel_ringbuffer.c projects/bhyve_svm/sys/dev/drm2/i915/intel_sdvo.c projects/bhyve_svm/sys/dev/drm2/ttm/ttm_bo_vm.c projects/bhyve_svm/sys/dev/drm2/ttm/ttm_tt.c projects/bhyve_svm/sys/dev/e1000/README projects/bhyve_svm/sys/dev/e1000/if_em.c projects/bhyve_svm/sys/dev/e1000/if_em.h projects/bhyve_svm/sys/dev/e1000/if_igb.c projects/bhyve_svm/sys/dev/e1000/if_lem.c projects/bhyve_svm/sys/dev/e1000/if_lem.h projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_7240.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_8216.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_8226.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_8316.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_phy.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_reg.c projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitch_reg.h projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitchreg.h projects/bhyve_svm/sys/dev/etherswitch/arswitch/arswitchvar.h projects/bhyve_svm/sys/dev/etherswitch/etherswitch.c projects/bhyve_svm/sys/dev/etherswitch/etherswitch.h projects/bhyve_svm/sys/dev/etherswitch/etherswitch_if.m projects/bhyve_svm/sys/dev/etherswitch/rtl8366/rtl8366rb.c projects/bhyve_svm/sys/dev/filemon/filemon.c projects/bhyve_svm/sys/dev/filemon/filemon_wrapper.c projects/bhyve_svm/sys/dev/firewire/firewire.c projects/bhyve_svm/sys/dev/firewire/sbp.c projects/bhyve_svm/sys/dev/flash/mx25l.c projects/bhyve_svm/sys/dev/fxp/if_fxp.c projects/bhyve_svm/sys/dev/hme/if_hme.c projects/bhyve_svm/sys/dev/hpt27xx/hpt27xx_config.c projects/bhyve_svm/sys/dev/hptiop/hptiop.c projects/bhyve_svm/sys/dev/hptiop/hptiop.h projects/bhyve_svm/sys/dev/hptmv/entry.c projects/bhyve_svm/sys/dev/hptrr/hptrr_osm_bsd.c projects/bhyve_svm/sys/dev/hwpmc/hwpmc_core.c projects/bhyve_svm/sys/dev/hwpmc/hwpmc_intel.c projects/bhyve_svm/sys/dev/hwpmc/hwpmc_mod.c projects/bhyve_svm/sys/dev/hwpmc/hwpmc_soft.c projects/bhyve_svm/sys/dev/hwpmc/hwpmc_uncore.c projects/bhyve_svm/sys/dev/hwpmc/pmc_events.h projects/bhyve_svm/sys/dev/ichsmb/ichsmb_pci.c projects/bhyve_svm/sys/dev/ichwd/ichwd.c projects/bhyve_svm/sys/dev/ichwd/ichwd.h projects/bhyve_svm/sys/dev/iicbus/if_ic.c projects/bhyve_svm/sys/dev/ipmi/ipmi_isa.c projects/bhyve_svm/sys/dev/ipmi/ipmivars.h projects/bhyve_svm/sys/dev/ips/ips.c projects/bhyve_svm/sys/dev/isci/isci_controller.c projects/bhyve_svm/sys/dev/isci/isci_domain.c projects/bhyve_svm/sys/dev/isci/isci_remote_device.c projects/bhyve_svm/sys/dev/isci/scil/sati_passthrough.c projects/bhyve_svm/sys/dev/isci/scil/scic_sds_phy.c projects/bhyve_svm/sys/dev/isci/scil/scic_sds_port.c projects/bhyve_svm/sys/dev/isci/scil/scic_sds_port_configuration_agent.c projects/bhyve_svm/sys/dev/isci/scil/scif_sas_domain.c projects/bhyve_svm/sys/dev/iscsi/initiator/isc_cam.c projects/bhyve_svm/sys/dev/isp/isp.c projects/bhyve_svm/sys/dev/isp/isp_freebsd.c projects/bhyve_svm/sys/dev/isp/ispreg.h projects/bhyve_svm/sys/dev/iwn/if_iwn.c projects/bhyve_svm/sys/dev/iwn/if_iwnreg.h projects/bhyve_svm/sys/dev/iwn/if_iwnvar.h projects/bhyve_svm/sys/dev/ixgb/README projects/bhyve_svm/sys/dev/ixgb/if_ixgb.c projects/bhyve_svm/sys/dev/ixgb/ixgb_ids.h projects/bhyve_svm/sys/dev/ixgbe/README projects/bhyve_svm/sys/dev/ixgbe/ixgbe.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_82598.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_82599.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_api.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_api.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_common.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_common.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_osdep.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_phy.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_phy.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_type.h projects/bhyve_svm/sys/dev/ixgbe/ixgbe_vf.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_x540.c projects/bhyve_svm/sys/dev/ixgbe/ixgbe_x540.h projects/bhyve_svm/sys/dev/ixgbe/ixv.c projects/bhyve_svm/sys/dev/lmc/if_lmc.c projects/bhyve_svm/sys/dev/lmc/if_lmc.h projects/bhyve_svm/sys/dev/md/md.c projects/bhyve_svm/sys/dev/mem/memdev.c projects/bhyve_svm/sys/dev/mfi/mfi.c projects/bhyve_svm/sys/dev/mfi/mfi_cam.c projects/bhyve_svm/sys/dev/mfi/mfi_debug.c projects/bhyve_svm/sys/dev/mfi/mfireg.h projects/bhyve_svm/sys/dev/mii/brgphy.c projects/bhyve_svm/sys/dev/mii/miidevs projects/bhyve_svm/sys/dev/mly/mly.c projects/bhyve_svm/sys/dev/mmc/mmc.c projects/bhyve_svm/sys/dev/mmc/mmcsd.c projects/bhyve_svm/sys/dev/mps/mps.c projects/bhyve_svm/sys/dev/mps/mps_config.c projects/bhyve_svm/sys/dev/mps/mps_mapping.c projects/bhyve_svm/sys/dev/mps/mps_pci.c projects/bhyve_svm/sys/dev/mps/mps_sas.c projects/bhyve_svm/sys/dev/mps/mps_sas.h projects/bhyve_svm/sys/dev/mps/mps_sas_lsi.c projects/bhyve_svm/sys/dev/mps/mps_table.c projects/bhyve_svm/sys/dev/mps/mps_user.c projects/bhyve_svm/sys/dev/mps/mpsvar.h projects/bhyve_svm/sys/dev/mpt/mpt_cam.c projects/bhyve_svm/sys/dev/mpt/mpt_pci.c projects/bhyve_svm/sys/dev/mpt/mpt_raid.c projects/bhyve_svm/sys/dev/mpt/mpt_user.c projects/bhyve_svm/sys/dev/msk/if_msk.c projects/bhyve_svm/sys/dev/mvs/mvs.c projects/bhyve_svm/sys/dev/mvs/mvs_pci.c projects/bhyve_svm/sys/dev/mvs/mvs_soc.c projects/bhyve_svm/sys/dev/mxge/if_mxge.c projects/bhyve_svm/sys/dev/nand/nand.h projects/bhyve_svm/sys/dev/nand/nand_geom.c projects/bhyve_svm/sys/dev/nand/nandbus.c projects/bhyve_svm/sys/dev/netmap/if_em_netmap.h projects/bhyve_svm/sys/dev/netmap/if_igb_netmap.h projects/bhyve_svm/sys/dev/netmap/if_lem_netmap.h projects/bhyve_svm/sys/dev/netmap/if_re_netmap.h projects/bhyve_svm/sys/dev/netmap/ixgbe_netmap.h projects/bhyve_svm/sys/dev/netmap/netmap.c projects/bhyve_svm/sys/dev/netmap/netmap_kern.h projects/bhyve_svm/sys/dev/netmap/netmap_mem2.c projects/bhyve_svm/sys/dev/nsp/nsp.c projects/bhyve_svm/sys/dev/nvd/nvd.c projects/bhyve_svm/sys/dev/nvme/nvme.c projects/bhyve_svm/sys/dev/nvme/nvme.h projects/bhyve_svm/sys/dev/nvme/nvme_ctrlr.c projects/bhyve_svm/sys/dev/nvme/nvme_ctrlr_cmd.c projects/bhyve_svm/sys/dev/nvme/nvme_ns.c projects/bhyve_svm/sys/dev/nvme/nvme_ns_cmd.c projects/bhyve_svm/sys/dev/nvme/nvme_private.h projects/bhyve_svm/sys/dev/nvme/nvme_qpair.c projects/bhyve_svm/sys/dev/nvme/nvme_sysctl.c projects/bhyve_svm/sys/dev/nvme/nvme_test.c projects/bhyve_svm/sys/dev/oce/oce_hw.c projects/bhyve_svm/sys/dev/oce/oce_hw.h projects/bhyve_svm/sys/dev/oce/oce_if.c projects/bhyve_svm/sys/dev/oce/oce_if.h projects/bhyve_svm/sys/dev/oce/oce_mbox.c projects/bhyve_svm/sys/dev/oce/oce_queue.c projects/bhyve_svm/sys/dev/oce/oce_sysctl.c projects/bhyve_svm/sys/dev/oce/oce_util.c projects/bhyve_svm/sys/dev/pci/pci.c projects/bhyve_svm/sys/dev/pci/pci_pci.c projects/bhyve_svm/sys/dev/pci/pci_private.h projects/bhyve_svm/sys/dev/pci/pcib_private.h projects/bhyve_svm/sys/dev/pci/pcireg.h projects/bhyve_svm/sys/dev/pci/pcivar.h projects/bhyve_svm/sys/dev/pci/vga_pci.c projects/bhyve_svm/sys/dev/ppbus/if_plip.c projects/bhyve_svm/sys/dev/ppbus/ppbconf.c projects/bhyve_svm/sys/dev/puc/puc_bfe.h projects/bhyve_svm/sys/dev/puc/puc_cfg.h projects/bhyve_svm/sys/dev/puc/pucdata.c projects/bhyve_svm/sys/dev/qlxgb/README.txt projects/bhyve_svm/sys/dev/qlxgb/qla_dbg.c projects/bhyve_svm/sys/dev/qlxgb/qla_dbg.h projects/bhyve_svm/sys/dev/qlxgb/qla_def.h projects/bhyve_svm/sys/dev/qlxgb/qla_glbl.h projects/bhyve_svm/sys/dev/qlxgb/qla_hw.c projects/bhyve_svm/sys/dev/qlxgb/qla_hw.h projects/bhyve_svm/sys/dev/qlxgb/qla_inline.h projects/bhyve_svm/sys/dev/qlxgb/qla_ioctl.c projects/bhyve_svm/sys/dev/qlxgb/qla_ioctl.h projects/bhyve_svm/sys/dev/qlxgb/qla_isr.c projects/bhyve_svm/sys/dev/qlxgb/qla_misc.c projects/bhyve_svm/sys/dev/qlxgb/qla_os.c projects/bhyve_svm/sys/dev/qlxgb/qla_os.h projects/bhyve_svm/sys/dev/qlxgb/qla_reg.h projects/bhyve_svm/sys/dev/qlxgb/qla_ver.h projects/bhyve_svm/sys/dev/ral/rt2560.c projects/bhyve_svm/sys/dev/ral/rt2661.c projects/bhyve_svm/sys/dev/ral/rt2860.c projects/bhyve_svm/sys/dev/random/probe.c projects/bhyve_svm/sys/dev/random/randomdev.c projects/bhyve_svm/sys/dev/random/randomdev.h projects/bhyve_svm/sys/dev/random/randomdev_soft.c projects/bhyve_svm/sys/dev/re/if_re.c projects/bhyve_svm/sys/dev/rndtest/rndtest.c projects/bhyve_svm/sys/dev/rt/if_rt.c projects/bhyve_svm/sys/dev/scc/scc_bfe.h projects/bhyve_svm/sys/dev/scc/scc_bfe_ebus.c projects/bhyve_svm/sys/dev/scc/scc_bfe_macio.c projects/bhyve_svm/sys/dev/scc/scc_bfe_quicc.c projects/bhyve_svm/sys/dev/scc/scc_bfe_sbus.c projects/bhyve_svm/sys/dev/scc/scc_core.c projects/bhyve_svm/sys/dev/scc/scc_dev_quicc.c projects/bhyve_svm/sys/dev/scc/scc_dev_sab82532.c projects/bhyve_svm/sys/dev/scc/scc_dev_z8530.c projects/bhyve_svm/sys/dev/sfxge/common/efx_mcdi.c projects/bhyve_svm/sys/dev/siis/siis.c projects/bhyve_svm/sys/dev/sk/if_sk.c projects/bhyve_svm/sys/dev/sk/if_skreg.h projects/bhyve_svm/sys/dev/sn/if_sn.c projects/bhyve_svm/sys/dev/sound/pci/ds1.c projects/bhyve_svm/sys/dev/sound/pci/emu10kx.c projects/bhyve_svm/sys/dev/sound/pci/envy24ht.c projects/bhyve_svm/sys/dev/sound/pci/envy24ht.h projects/bhyve_svm/sys/dev/sound/pci/hda/hdaa_patches.c projects/bhyve_svm/sys/dev/sound/pci/hda/hdac.h projects/bhyve_svm/sys/dev/sound/pcm/dsp.c projects/bhyve_svm/sys/dev/sound/usb/uaudio.c projects/bhyve_svm/sys/dev/stg/tmc18c30.c projects/bhyve_svm/sys/dev/sym/sym_hipd.c projects/bhyve_svm/sys/dev/syscons/scvgarndr.c projects/bhyve_svm/sys/dev/syscons/syscons.c projects/bhyve_svm/sys/dev/twa/tw_osl_cam.c projects/bhyve_svm/sys/dev/tws/tws.h projects/bhyve_svm/sys/dev/tws/tws_cam.c projects/bhyve_svm/sys/dev/uart/uart.h projects/bhyve_svm/sys/dev/uart/uart_bus_fdt.c projects/bhyve_svm/sys/dev/uart/uart_bus_pci.c projects/bhyve_svm/sys/dev/uart/uart_core.c projects/bhyve_svm/sys/dev/uart/uart_subr.c projects/bhyve_svm/sys/dev/usb/controller/at91dci_atmelarm.c projects/bhyve_svm/sys/dev/usb/controller/musb_otg.c projects/bhyve_svm/sys/dev/usb/controller/musb_otg.h projects/bhyve_svm/sys/dev/usb/controller/musb_otg_atmelarm.c projects/bhyve_svm/sys/dev/usb/controller/ohci_pci.c projects/bhyve_svm/sys/dev/usb/controller/usb_controller.c projects/bhyve_svm/sys/dev/usb/controller/xhci.c projects/bhyve_svm/sys/dev/usb/controller/xhci.h projects/bhyve_svm/sys/dev/usb/controller/xhci_pci.c projects/bhyve_svm/sys/dev/usb/controller/xhcireg.h projects/bhyve_svm/sys/dev/usb/input/ukbd.c projects/bhyve_svm/sys/dev/usb/misc/udbp.c projects/bhyve_svm/sys/dev/usb/net/if_aue.c projects/bhyve_svm/sys/dev/usb/net/if_axe.c projects/bhyve_svm/sys/dev/usb/net/if_axereg.h projects/bhyve_svm/sys/dev/usb/net/if_cuereg.h projects/bhyve_svm/sys/dev/usb/net/if_ipheth.c projects/bhyve_svm/sys/dev/usb/net/if_mos.c projects/bhyve_svm/sys/dev/usb/net/if_rue.c projects/bhyve_svm/sys/dev/usb/net/if_smsc.c projects/bhyve_svm/sys/dev/usb/net/if_udav.c projects/bhyve_svm/sys/dev/usb/net/if_usie.c projects/bhyve_svm/sys/dev/usb/net/uhso.c projects/bhyve_svm/sys/dev/usb/quirk/usb_quirk.c projects/bhyve_svm/sys/dev/usb/serial/u3g.c projects/bhyve_svm/sys/dev/usb/serial/uftdi.c projects/bhyve_svm/sys/dev/usb/serial/umcs.h projects/bhyve_svm/sys/dev/usb/serial/usb_serial.c projects/bhyve_svm/sys/dev/usb/serial/usb_serial.h projects/bhyve_svm/sys/dev/usb/serial/uslcom.c projects/bhyve_svm/sys/dev/usb/template/usb_template.c projects/bhyve_svm/sys/dev/usb/usb_debug.h projects/bhyve_svm/sys/dev/usb/usb_device.c projects/bhyve_svm/sys/dev/usb/usb_device.h projects/bhyve_svm/sys/dev/usb/usb_dynamic.c projects/bhyve_svm/sys/dev/usb/usb_freebsd.h projects/bhyve_svm/sys/dev/usb/usb_freebsd_loader.h projects/bhyve_svm/sys/dev/usb/usb_generic.c projects/bhyve_svm/sys/dev/usb/usb_hub.c projects/bhyve_svm/sys/dev/usb/usb_hub.h projects/bhyve_svm/sys/dev/usb/usb_ioctl.h projects/bhyve_svm/sys/dev/usb/usb_msctest.c projects/bhyve_svm/sys/dev/usb/usb_parse.c projects/bhyve_svm/sys/dev/usb/usb_pf.c projects/bhyve_svm/sys/dev/usb/usb_process.h projects/bhyve_svm/sys/dev/usb/usb_request.c projects/bhyve_svm/sys/dev/usb/usb_request.h projects/bhyve_svm/sys/dev/usb/usbdevs projects/bhyve_svm/sys/dev/usb/wlan/if_rumvar.h projects/bhyve_svm/sys/dev/usb/wlan/if_runvar.h projects/bhyve_svm/sys/dev/usb/wlan/if_uathvar.h projects/bhyve_svm/sys/dev/usb/wlan/if_upgtvar.h projects/bhyve_svm/sys/dev/usb/wlan/if_uralvar.h projects/bhyve_svm/sys/dev/usb/wlan/if_urtwvar.h projects/bhyve_svm/sys/dev/usb/wlan/if_zydreg.h projects/bhyve_svm/sys/dev/virtio/balloon/virtio_balloon.c projects/bhyve_svm/sys/dev/virtio/block/virtio_blk.c projects/bhyve_svm/sys/dev/virtio/block/virtio_blk.h projects/bhyve_svm/sys/dev/virtio/network/if_vtnet.c projects/bhyve_svm/sys/dev/virtio/network/if_vtnetvar.h projects/bhyve_svm/sys/dev/virtio/pci/virtio_pci.c projects/bhyve_svm/sys/dev/virtio/scsi/virtio_scsi.c projects/bhyve_svm/sys/dev/virtio/scsi/virtio_scsivar.h projects/bhyve_svm/sys/dev/virtio/virtio.c projects/bhyve_svm/sys/dev/virtio/virtio.h projects/bhyve_svm/sys/dev/virtio/virtio_bus_if.m projects/bhyve_svm/sys/dev/virtio/virtio_if.m projects/bhyve_svm/sys/dev/virtio/virtqueue.c projects/bhyve_svm/sys/dev/virtio/virtqueue.h projects/bhyve_svm/sys/dev/vxge/vxge.h projects/bhyve_svm/sys/dev/watchdog/watchdog.c projects/bhyve_svm/sys/dev/wi/if_wi.c projects/bhyve_svm/sys/dev/xen/blkfront/blkfront.c projects/bhyve_svm/sys/dev/xen/blkfront/block.h projects/bhyve_svm/sys/dev/xen/netback/netback.c projects/bhyve_svm/sys/dev/xen/netfront/netfront.c projects/bhyve_svm/sys/fs/cd9660/iso.h projects/bhyve_svm/sys/fs/devfs/devfs_devs.c projects/bhyve_svm/sys/fs/devfs/devfs_rule.c projects/bhyve_svm/sys/fs/ext2fs/ext2_alloc.c projects/bhyve_svm/sys/fs/ext2fs/ext2_balloc.c projects/bhyve_svm/sys/fs/ext2fs/ext2_bmap.c projects/bhyve_svm/sys/fs/ext2fs/ext2_dinode.h projects/bhyve_svm/sys/fs/ext2fs/ext2_dir.h projects/bhyve_svm/sys/fs/ext2fs/ext2_extern.h projects/bhyve_svm/sys/fs/ext2fs/ext2_inode.c projects/bhyve_svm/sys/fs/ext2fs/ext2_inode_cnv.c projects/bhyve_svm/sys/fs/ext2fs/ext2_lookup.c projects/bhyve_svm/sys/fs/ext2fs/ext2_subr.c projects/bhyve_svm/sys/fs/ext2fs/ext2_vfsops.c projects/bhyve_svm/sys/fs/ext2fs/ext2_vnops.c projects/bhyve_svm/sys/fs/ext2fs/ext2fs.h projects/bhyve_svm/sys/fs/ext2fs/fs.h projects/bhyve_svm/sys/fs/ext2fs/inode.h projects/bhyve_svm/sys/fs/fdescfs/fdesc_vnops.c projects/bhyve_svm/sys/fs/msdosfs/msdosfs_conv.c projects/bhyve_svm/sys/fs/msdosfs/msdosfs_denode.c projects/bhyve_svm/sys/fs/msdosfs/msdosfs_vnops.c projects/bhyve_svm/sys/fs/nandfs/nandfs_segment.c projects/bhyve_svm/sys/fs/nandfs/nandfs_vnops.c projects/bhyve_svm/sys/fs/nfs/nfs.h projects/bhyve_svm/sys/fs/nfs/nfs_commonkrpc.c projects/bhyve_svm/sys/fs/nfs/nfs_commonport.c projects/bhyve_svm/sys/fs/nfs/nfs_commonsubs.c projects/bhyve_svm/sys/fs/nfs/nfs_var.h projects/bhyve_svm/sys/fs/nfs/nfsm_subs.h projects/bhyve_svm/sys/fs/nfs/nfsport.h projects/bhyve_svm/sys/fs/nfsclient/nfs_clbio.c projects/bhyve_svm/sys/fs/nfsclient/nfs_clkrpc.c projects/bhyve_svm/sys/fs/nfsclient/nfs_clnfsiod.c projects/bhyve_svm/sys/fs/nfsclient/nfs_clport.c projects/bhyve_svm/sys/fs/nfsclient/nfs_clstate.c projects/bhyve_svm/sys/fs/nfsclient/nfs_clvfsops.c projects/bhyve_svm/sys/fs/nfsclient/nfs_clvnops.c projects/bhyve_svm/sys/fs/nfsserver/nfs_nfsdkrpc.c projects/bhyve_svm/sys/fs/nfsserver/nfs_nfsdport.c projects/bhyve_svm/sys/fs/nfsserver/nfs_nfsdsocket.c projects/bhyve_svm/sys/fs/nullfs/null.h projects/bhyve_svm/sys/fs/nullfs/null_subr.c projects/bhyve_svm/sys/fs/nullfs/null_vfsops.c projects/bhyve_svm/sys/fs/nullfs/null_vnops.c projects/bhyve_svm/sys/fs/procfs/procfs_map.c projects/bhyve_svm/sys/fs/smbfs/smbfs.h projects/bhyve_svm/sys/fs/smbfs/smbfs_io.c projects/bhyve_svm/sys/fs/smbfs/smbfs_node.c projects/bhyve_svm/sys/fs/smbfs/smbfs_smb.c projects/bhyve_svm/sys/fs/smbfs/smbfs_vfsops.c projects/bhyve_svm/sys/fs/smbfs/smbfs_vnops.c projects/bhyve_svm/sys/fs/tmpfs/tmpfs.h projects/bhyve_svm/sys/fs/tmpfs/tmpfs_subr.c projects/bhyve_svm/sys/fs/tmpfs/tmpfs_vfsops.c projects/bhyve_svm/sys/fs/tmpfs/tmpfs_vnops.c projects/bhyve_svm/sys/gdb/gdb_cons.c projects/bhyve_svm/sys/geom/geom_dev.c projects/bhyve_svm/sys/geom/geom_disk.c projects/bhyve_svm/sys/geom/geom_disk.h projects/bhyve_svm/sys/geom/geom_dump.c projects/bhyve_svm/sys/geom/geom_flashmap.c projects/bhyve_svm/sys/geom/geom_io.c projects/bhyve_svm/sys/geom/journal/g_journal.c projects/bhyve_svm/sys/geom/label/g_label.c projects/bhyve_svm/sys/geom/label/g_label.h projects/bhyve_svm/sys/geom/label/g_label_ntfs.c projects/bhyve_svm/sys/geom/mirror/g_mirror.c projects/bhyve_svm/sys/geom/nop/g_nop.c projects/bhyve_svm/sys/geom/nop/g_nop.h projects/bhyve_svm/sys/geom/part/g_part.c projects/bhyve_svm/sys/geom/part/g_part_apm.c projects/bhyve_svm/sys/geom/part/g_part_ebr.c projects/bhyve_svm/sys/geom/part/g_part_gpt.c projects/bhyve_svm/sys/geom/part/g_part_ldm.c projects/bhyve_svm/sys/geom/part/g_part_mbr.c projects/bhyve_svm/sys/geom/part/g_part_pc98.c projects/bhyve_svm/sys/geom/raid/g_raid.c projects/bhyve_svm/sys/geom/raid/g_raid_ctl.c projects/bhyve_svm/sys/geom/raid/md_ddf.c projects/bhyve_svm/sys/i386/conf/GENERIC projects/bhyve_svm/sys/i386/conf/NOTES projects/bhyve_svm/sys/i386/conf/PAE projects/bhyve_svm/sys/i386/conf/XBOX projects/bhyve_svm/sys/i386/conf/XEN projects/bhyve_svm/sys/i386/i386/exception.s projects/bhyve_svm/sys/i386/i386/identcpu.c projects/bhyve_svm/sys/i386/i386/initcpu.c projects/bhyve_svm/sys/i386/i386/machdep.c projects/bhyve_svm/sys/i386/i386/mp_machdep.c projects/bhyve_svm/sys/i386/i386/pmap.c projects/bhyve_svm/sys/i386/i386/support.s projects/bhyve_svm/sys/i386/i386/symbols.raw projects/bhyve_svm/sys/i386/i386/sys_machdep.c projects/bhyve_svm/sys/i386/i386/trap.c projects/bhyve_svm/sys/i386/i386/uio_machdep.c projects/bhyve_svm/sys/i386/i386/vm_machdep.c projects/bhyve_svm/sys/i386/include/acpica_machdep.h projects/bhyve_svm/sys/i386/include/md_var.h projects/bhyve_svm/sys/i386/include/metadata.h projects/bhyve_svm/sys/i386/include/param.h projects/bhyve_svm/sys/i386/include/pcpu.h projects/bhyve_svm/sys/i386/include/vmparam.h projects/bhyve_svm/sys/i386/include/xen/xen-os.h projects/bhyve_svm/sys/i386/include/xen/xenvar.h projects/bhyve_svm/sys/i386/isa/spic.c projects/bhyve_svm/sys/i386/xen/mp_machdep.c projects/bhyve_svm/sys/i386/xen/pmap.c projects/bhyve_svm/sys/i386/xen/xen_machdep.c projects/bhyve_svm/sys/ia64/conf/GENERIC projects/bhyve_svm/sys/ia64/ia64/mca.c projects/bhyve_svm/sys/ia64/ia64/pmap.c projects/bhyve_svm/sys/ia64/include/acpica_machdep.h projects/bhyve_svm/sys/ia64/include/param.h projects/bhyve_svm/sys/ia64/include/pcpu.h projects/bhyve_svm/sys/ia64/include/vmparam.h projects/bhyve_svm/sys/ia64/pci/pci_cfgreg.c projects/bhyve_svm/sys/kern/Make.tags.inc projects/bhyve_svm/sys/kern/capabilities.conf projects/bhyve_svm/sys/kern/imgact_elf.c projects/bhyve_svm/sys/kern/imgact_gzip.c projects/bhyve_svm/sys/kern/init_main.c projects/bhyve_svm/sys/kern/init_sysent.c projects/bhyve_svm/sys/kern/kern_acct.c projects/bhyve_svm/sys/kern/kern_alq.c projects/bhyve_svm/sys/kern/kern_clock.c projects/bhyve_svm/sys/kern/kern_cpuset.c projects/bhyve_svm/sys/kern/kern_descrip.c projects/bhyve_svm/sys/kern/kern_environment.c projects/bhyve_svm/sys/kern/kern_event.c projects/bhyve_svm/sys/kern/kern_exec.c projects/bhyve_svm/sys/kern/kern_exit.c projects/bhyve_svm/sys/kern/kern_fork.c projects/bhyve_svm/sys/kern/kern_hhook.c projects/bhyve_svm/sys/kern/kern_intr.c projects/bhyve_svm/sys/kern/kern_jail.c projects/bhyve_svm/sys/kern/kern_khelp.c projects/bhyve_svm/sys/kern/kern_lock.c projects/bhyve_svm/sys/kern/kern_malloc.c projects/bhyve_svm/sys/kern/kern_mbuf.c projects/bhyve_svm/sys/kern/kern_mutex.c projects/bhyve_svm/sys/kern/kern_ntptime.c projects/bhyve_svm/sys/kern/kern_poll.c projects/bhyve_svm/sys/kern/kern_priv.c projects/bhyve_svm/sys/kern/kern_proc.c projects/bhyve_svm/sys/kern/kern_rmlock.c projects/bhyve_svm/sys/kern/kern_rwlock.c projects/bhyve_svm/sys/kern/kern_sig.c projects/bhyve_svm/sys/kern/kern_sx.c projects/bhyve_svm/sys/kern/kern_synch.c projects/bhyve_svm/sys/kern/kern_time.c projects/bhyve_svm/sys/kern/kern_umtx.c projects/bhyve_svm/sys/kern/kern_uuid.c projects/bhyve_svm/sys/kern/sched_4bsd.c projects/bhyve_svm/sys/kern/sched_ule.c projects/bhyve_svm/sys/kern/subr_bus.c projects/bhyve_svm/sys/kern/subr_bus_dma.c projects/bhyve_svm/sys/kern/subr_lock.c projects/bhyve_svm/sys/kern/subr_sbuf.c projects/bhyve_svm/sys/kern/subr_witness.c projects/bhyve_svm/sys/kern/sys_capability.c projects/bhyve_svm/sys/kern/sys_generic.c projects/bhyve_svm/sys/kern/sys_pipe.c projects/bhyve_svm/sys/kern/sys_process.c projects/bhyve_svm/sys/kern/syscalls.c projects/bhyve_svm/sys/kern/syscalls.master projects/bhyve_svm/sys/kern/systrace_args.c projects/bhyve_svm/sys/kern/sysv_shm.c projects/bhyve_svm/sys/kern/uipc_mbuf.c projects/bhyve_svm/sys/kern/uipc_mqueue.c projects/bhyve_svm/sys/kern/uipc_sem.c projects/bhyve_svm/sys/kern/uipc_shm.c projects/bhyve_svm/sys/kern/uipc_sockbuf.c projects/bhyve_svm/sys/kern/uipc_socket.c projects/bhyve_svm/sys/kern/uipc_syscalls.c projects/bhyve_svm/sys/kern/uipc_usrreq.c projects/bhyve_svm/sys/kern/vfs_aio.c projects/bhyve_svm/sys/kern/vfs_bio.c projects/bhyve_svm/sys/kern/vfs_cache.c projects/bhyve_svm/sys/kern/vfs_cluster.c projects/bhyve_svm/sys/kern/vfs_default.c projects/bhyve_svm/sys/kern/vfs_lookup.c projects/bhyve_svm/sys/kern/vfs_mount.c projects/bhyve_svm/sys/kern/vfs_mountroot.c projects/bhyve_svm/sys/kern/vfs_subr.c projects/bhyve_svm/sys/kern/vfs_syscalls.c projects/bhyve_svm/sys/kern/vfs_vnops.c projects/bhyve_svm/sys/kgssapi/gss_impl.c projects/bhyve_svm/sys/kgssapi/krb5/krb5_mech.c projects/bhyve_svm/sys/libkern/arc4random.c projects/bhyve_svm/sys/mips/atheros/apb.c projects/bhyve_svm/sys/mips/atheros/ar71xx_chip.c projects/bhyve_svm/sys/mips/atheros/ar71xx_cpudef.h projects/bhyve_svm/sys/mips/atheros/ar71xx_ehci.c projects/bhyve_svm/sys/mips/atheros/ar71xx_gpio.c projects/bhyve_svm/sys/mips/atheros/ar71xx_setup.c projects/bhyve_svm/sys/mips/atheros/ar71xx_setup.h projects/bhyve_svm/sys/mips/atheros/ar724x_chip.c projects/bhyve_svm/sys/mips/atheros/ar91xx_chip.c projects/bhyve_svm/sys/mips/atheros/ar933x_chip.c projects/bhyve_svm/sys/mips/atheros/ar933xreg.h projects/bhyve_svm/sys/mips/atheros/files.ar71xx projects/bhyve_svm/sys/mips/atheros/if_arge.c projects/bhyve_svm/sys/mips/atheros/uart_bus_ar71xx.c projects/bhyve_svm/sys/mips/atheros/uart_bus_ar933x.c projects/bhyve_svm/sys/mips/atheros/uart_cpu_ar71xx.c projects/bhyve_svm/sys/mips/atheros/uart_cpu_ar933x.c projects/bhyve_svm/sys/mips/atheros/uart_dev_ar933x.c projects/bhyve_svm/sys/mips/cavium/octe/ethernet-common.c projects/bhyve_svm/sys/mips/cavium/octe/wrapper-cvmx-includes.h projects/bhyve_svm/sys/mips/cavium/octeon_gpio.c projects/bhyve_svm/sys/mips/cavium/std.octeon1 projects/bhyve_svm/sys/mips/cavium/uart_dev_oct16550.c projects/bhyve_svm/sys/mips/conf/AP121 projects/bhyve_svm/sys/mips/conf/AP121.hints projects/bhyve_svm/sys/mips/conf/AP93.hints projects/bhyve_svm/sys/mips/conf/AR933X_BASE projects/bhyve_svm/sys/mips/conf/AR933X_BASE.hints projects/bhyve_svm/sys/mips/conf/DIR-825 projects/bhyve_svm/sys/mips/conf/DIR-825.hints projects/bhyve_svm/sys/mips/conf/OCTEON1 projects/bhyve_svm/sys/mips/conf/ROUTERSTATION projects/bhyve_svm/sys/mips/conf/ROUTERSTATION.hints projects/bhyve_svm/sys/mips/conf/XLR projects/bhyve_svm/sys/mips/conf/XLR64 projects/bhyve_svm/sys/mips/conf/XLRN32 projects/bhyve_svm/sys/mips/conf/std.SWARM projects/bhyve_svm/sys/mips/conf/std.XLP projects/bhyve_svm/sys/mips/include/asm.h projects/bhyve_svm/sys/mips/include/atomic.h projects/bhyve_svm/sys/mips/include/cpufunc.h projects/bhyve_svm/sys/mips/include/cpuregs.h projects/bhyve_svm/sys/mips/include/elf.h projects/bhyve_svm/sys/mips/include/frame.h projects/bhyve_svm/sys/mips/include/param.h projects/bhyve_svm/sys/mips/include/pcb.h projects/bhyve_svm/sys/mips/include/pcpu.h projects/bhyve_svm/sys/mips/include/regdef.h projects/bhyve_svm/sys/mips/include/regnum.h projects/bhyve_svm/sys/mips/include/vmparam.h projects/bhyve_svm/sys/mips/malta/malta_machdep.c projects/bhyve_svm/sys/mips/malta/std.malta projects/bhyve_svm/sys/mips/mips/bus_space_fdt.c projects/bhyve_svm/sys/mips/mips/bus_space_generic.c projects/bhyve_svm/sys/mips/mips/db_interface.c projects/bhyve_svm/sys/mips/mips/db_trace.c projects/bhyve_svm/sys/mips/mips/mp_machdep.c projects/bhyve_svm/sys/mips/mips/pmap.c projects/bhyve_svm/sys/mips/mips/stack_machdep.c projects/bhyve_svm/sys/mips/mips/support.S projects/bhyve_svm/sys/mips/mips/swtch.S projects/bhyve_svm/sys/mips/mips/tlb.c projects/bhyve_svm/sys/mips/mips/trap.c projects/bhyve_svm/sys/mips/mips/uio_machdep.c projects/bhyve_svm/sys/mips/mips/vm_machdep.c projects/bhyve_svm/sys/mips/nlm/dev/net/xlpge.c projects/bhyve_svm/sys/mips/rt305x/rt305x_gpio.c projects/bhyve_svm/sys/modules/Makefile projects/bhyve_svm/sys/modules/acpi/acpi/Makefile projects/bhyve_svm/sys/modules/ata/Makefile projects/bhyve_svm/sys/modules/ata/atacard/Makefile projects/bhyve_svm/sys/modules/ata/atacbus/Makefile projects/bhyve_svm/sys/modules/ata/atacore/Makefile projects/bhyve_svm/sys/modules/ata/ataisa/Makefile projects/bhyve_svm/sys/modules/ata/atapci/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataacard/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataacerlabs/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataadaptec/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataahci/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataamd/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataati/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atacenatek/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atacypress/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atacyrix/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atahighpoint/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataintel/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataite/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atajmicron/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atamarvell/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atamicron/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atanational/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atanetcell/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atanvidia/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atapromise/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/ataserverworks/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atasiliconimage/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atasis/Makefile projects/bhyve_svm/sys/modules/ata/atapci/chipsets/atavia/Makefile projects/bhyve_svm/sys/modules/ath/Makefile projects/bhyve_svm/sys/modules/cam/Makefile projects/bhyve_svm/sys/modules/cc/Makefile projects/bhyve_svm/sys/modules/cxgbe/Makefile projects/bhyve_svm/sys/modules/cxgbe/if_cxgbe/Makefile projects/bhyve_svm/sys/modules/cxgbe/t4_firmware/Makefile projects/bhyve_svm/sys/modules/cyclic/Makefile projects/bhyve_svm/sys/modules/dtrace/dtio/Makefile projects/bhyve_svm/sys/modules/dtrace/dtmalloc/Makefile projects/bhyve_svm/sys/modules/dtrace/dtnfscl/Makefile projects/bhyve_svm/sys/modules/dtrace/dtnfsclient/Makefile projects/bhyve_svm/sys/modules/dtrace/dtrace/Makefile projects/bhyve_svm/sys/modules/dtrace/dtrace_test/Makefile projects/bhyve_svm/sys/modules/dtrace/dtraceall/Makefile projects/bhyve_svm/sys/modules/dtrace/fasttrap/Makefile projects/bhyve_svm/sys/modules/dtrace/fbt/Makefile projects/bhyve_svm/sys/modules/dtrace/lockstat/Makefile projects/bhyve_svm/sys/modules/dtrace/profile/Makefile projects/bhyve_svm/sys/modules/dtrace/prototype/Makefile projects/bhyve_svm/sys/modules/dtrace/sdt/Makefile projects/bhyve_svm/sys/modules/dtrace/systrace/Makefile projects/bhyve_svm/sys/modules/dtrace/systrace_freebsd32/Makefile projects/bhyve_svm/sys/modules/dtrace/systrace_linux32/Makefile projects/bhyve_svm/sys/modules/em/Makefile projects/bhyve_svm/sys/modules/ext2fs/Makefile projects/bhyve_svm/sys/modules/filemon/Makefile projects/bhyve_svm/sys/modules/geom/geom_label/Makefile projects/bhyve_svm/sys/modules/hpt27xx/Makefile projects/bhyve_svm/sys/modules/igb/Makefile projects/bhyve_svm/sys/modules/iwn/Makefile projects/bhyve_svm/sys/modules/ixgbe/Makefile projects/bhyve_svm/sys/modules/linux/Makefile projects/bhyve_svm/sys/modules/nfsd/Makefile projects/bhyve_svm/sys/modules/nfsserver/Makefile projects/bhyve_svm/sys/modules/nvme/Makefile projects/bhyve_svm/sys/modules/opensolaris/Makefile projects/bhyve_svm/sys/modules/uart/Makefile projects/bhyve_svm/sys/modules/usb/Makefile projects/bhyve_svm/sys/modules/usb/umass/Makefile projects/bhyve_svm/sys/modules/usb/urio/Makefile projects/bhyve_svm/sys/modules/usb/usfs/Makefile projects/bhyve_svm/sys/modules/wi/Makefile projects/bhyve_svm/sys/modules/zfs/Makefile projects/bhyve_svm/sys/net/bpf.c projects/bhyve_svm/sys/net/ethernet.h projects/bhyve_svm/sys/net/ieee8023ad_lacp.c projects/bhyve_svm/sys/net/ieee8023ad_lacp.h projects/bhyve_svm/sys/net/if.c projects/bhyve_svm/sys/net/if_arc.h projects/bhyve_svm/sys/net/if_arcsubr.c projects/bhyve_svm/sys/net/if_arp.h projects/bhyve_svm/sys/net/if_atm.h projects/bhyve_svm/sys/net/if_atmsubr.c projects/bhyve_svm/sys/net/if_bridge.c projects/bhyve_svm/sys/net/if_dead.c projects/bhyve_svm/sys/net/if_disc.c projects/bhyve_svm/sys/net/if_ef.c projects/bhyve_svm/sys/net/if_enc.c projects/bhyve_svm/sys/net/if_ethersubr.c projects/bhyve_svm/sys/net/if_faith.c projects/bhyve_svm/sys/net/if_fddisubr.c projects/bhyve_svm/sys/net/if_fwsubr.c projects/bhyve_svm/sys/net/if_gif.c projects/bhyve_svm/sys/net/if_gif.h projects/bhyve_svm/sys/net/if_gre.c projects/bhyve_svm/sys/net/if_iso88025subr.c projects/bhyve_svm/sys/net/if_lagg.c projects/bhyve_svm/sys/net/if_lagg.h projects/bhyve_svm/sys/net/if_loop.c projects/bhyve_svm/sys/net/if_spppsubr.c projects/bhyve_svm/sys/net/if_stf.c projects/bhyve_svm/sys/net/if_tun.c projects/bhyve_svm/sys/net/if_var.h projects/bhyve_svm/sys/net/if_vlan.c projects/bhyve_svm/sys/net/iso88025.h projects/bhyve_svm/sys/net/netmap.h projects/bhyve_svm/sys/net/netmap_user.h projects/bhyve_svm/sys/net/ppp_defs.h projects/bhyve_svm/sys/net/route.c projects/bhyve_svm/sys/net/route.h projects/bhyve_svm/sys/net/rtsock.c projects/bhyve_svm/sys/net/vnet.h projects/bhyve_svm/sys/net80211/ieee80211.c projects/bhyve_svm/sys/net80211/ieee80211.h projects/bhyve_svm/sys/net80211/ieee80211_amrr.c projects/bhyve_svm/sys/net80211/ieee80211_ht.c projects/bhyve_svm/sys/net80211/ieee80211_ioctl.c projects/bhyve_svm/sys/net80211/ieee80211_mesh.c projects/bhyve_svm/sys/net80211/ieee80211_output.c projects/bhyve_svm/sys/net80211/ieee80211_phy.c projects/bhyve_svm/sys/net80211/ieee80211_phy.h projects/bhyve_svm/sys/net80211/ieee80211_proto.h projects/bhyve_svm/sys/net80211/ieee80211_var.h projects/bhyve_svm/sys/netatalk/aarp.c projects/bhyve_svm/sys/netatalk/aarp.h projects/bhyve_svm/sys/netatalk/at_control.c projects/bhyve_svm/sys/netatalk/at_extern.h projects/bhyve_svm/sys/netgraph/bluetooth/drivers/ubt/ng_ubt.c projects/bhyve_svm/sys/netgraph/bluetooth/hci/ng_hci_ulpi.c projects/bhyve_svm/sys/netgraph/bluetooth/l2cap/ng_l2cap_cmds.c projects/bhyve_svm/sys/netgraph/bluetooth/socket/ng_btsocket.c projects/bhyve_svm/sys/netgraph/netflow/ng_netflow.h projects/bhyve_svm/sys/netgraph/ng_base.c projects/bhyve_svm/sys/netgraph/ng_fec.c projects/bhyve_svm/sys/netgraph/ng_iface.c projects/bhyve_svm/sys/netgraph/ng_pppoe.c projects/bhyve_svm/sys/netgraph/ng_pppoe.h projects/bhyve_svm/sys/netinet/icmp6.h projects/bhyve_svm/sys/netinet/icmp_var.h projects/bhyve_svm/sys/netinet/if_atm.c projects/bhyve_svm/sys/netinet/if_atm.h projects/bhyve_svm/sys/netinet/if_ether.c projects/bhyve_svm/sys/netinet/if_ether.h projects/bhyve_svm/sys/netinet/igmp.c projects/bhyve_svm/sys/netinet/in.c projects/bhyve_svm/sys/netinet/in.h projects/bhyve_svm/sys/netinet/in_mcast.c projects/bhyve_svm/sys/netinet/in_pcb.c projects/bhyve_svm/sys/netinet/in_pcb.h projects/bhyve_svm/sys/netinet/ip6.h projects/bhyve_svm/sys/netinet/ip_carp.c projects/bhyve_svm/sys/netinet/ip_carp.h projects/bhyve_svm/sys/netinet/ip_gre.c projects/bhyve_svm/sys/netinet/ip_icmp.c projects/bhyve_svm/sys/netinet/ip_input.c projects/bhyve_svm/sys/netinet/ip_mroute.c projects/bhyve_svm/sys/netinet/ip_mroute.h projects/bhyve_svm/sys/netinet/ip_output.c projects/bhyve_svm/sys/netinet/ip_var.h projects/bhyve_svm/sys/netinet/pim_var.h projects/bhyve_svm/sys/netinet/sctp_asconf.c projects/bhyve_svm/sys/netinet/sctp_constants.h projects/bhyve_svm/sys/netinet/sctp_indata.c projects/bhyve_svm/sys/netinet/sctp_indata.h projects/bhyve_svm/sys/netinet/sctp_input.c projects/bhyve_svm/sys/netinet/sctp_output.c projects/bhyve_svm/sys/netinet/sctp_pcb.c projects/bhyve_svm/sys/netinet/sctp_sysctl.c projects/bhyve_svm/sys/netinet/sctp_sysctl.h projects/bhyve_svm/sys/netinet/sctp_usrreq.c projects/bhyve_svm/sys/netinet/sctputil.c projects/bhyve_svm/sys/netinet/tcp_input.c projects/bhyve_svm/sys/netinet/tcp_output.c projects/bhyve_svm/sys/netinet/tcp_subr.c projects/bhyve_svm/sys/netinet/tcp_syncache.c projects/bhyve_svm/sys/netinet/tcp_syncache.h projects/bhyve_svm/sys/netinet/tcp_var.h projects/bhyve_svm/sys/netinet/udp_usrreq.c projects/bhyve_svm/sys/netinet/udp_var.h projects/bhyve_svm/sys/netinet6/dest6.c projects/bhyve_svm/sys/netinet6/frag6.c projects/bhyve_svm/sys/netinet6/icmp6.c projects/bhyve_svm/sys/netinet6/in6.c projects/bhyve_svm/sys/netinet6/in6.h projects/bhyve_svm/sys/netinet6/in6_gif.c projects/bhyve_svm/sys/netinet6/in6_ifattach.c projects/bhyve_svm/sys/netinet6/in6_ifattach.h projects/bhyve_svm/sys/netinet6/in6_pcb.c projects/bhyve_svm/sys/netinet6/in6_proto.c projects/bhyve_svm/sys/netinet6/in6_src.c projects/bhyve_svm/sys/netinet6/in6_var.h projects/bhyve_svm/sys/netinet6/ip6_forward.c projects/bhyve_svm/sys/netinet6/ip6_id.c projects/bhyve_svm/sys/netinet6/ip6_input.c projects/bhyve_svm/sys/netinet6/ip6_ipsec.c projects/bhyve_svm/sys/netinet6/ip6_mroute.c projects/bhyve_svm/sys/netinet6/ip6_mroute.h projects/bhyve_svm/sys/netinet6/ip6_output.c projects/bhyve_svm/sys/netinet6/ip6_var.h projects/bhyve_svm/sys/netinet6/nd6.c projects/bhyve_svm/sys/netinet6/nd6.h projects/bhyve_svm/sys/netinet6/nd6_rtr.c projects/bhyve_svm/sys/netinet6/pim6_var.h projects/bhyve_svm/sys/netinet6/raw_ip6.c projects/bhyve_svm/sys/netinet6/raw_ip6.h projects/bhyve_svm/sys/netinet6/route6.c projects/bhyve_svm/sys/netinet6/sctp6_usrreq.c projects/bhyve_svm/sys/netinet6/udp6_usrreq.c projects/bhyve_svm/sys/netipsec/ah_var.h projects/bhyve_svm/sys/netipsec/esp_var.h projects/bhyve_svm/sys/netipsec/ipcomp_var.h projects/bhyve_svm/sys/netipsec/ipip_var.h projects/bhyve_svm/sys/netipsec/ipsec.c projects/bhyve_svm/sys/netipsec/ipsec.h projects/bhyve_svm/sys/netipsec/ipsec6.h projects/bhyve_svm/sys/netipsec/ipsec_input.c projects/bhyve_svm/sys/netipsec/ipsec_mbuf.c projects/bhyve_svm/sys/netipsec/ipsec_output.c projects/bhyve_svm/sys/netipsec/key.c projects/bhyve_svm/sys/netipsec/keysock.c projects/bhyve_svm/sys/netipsec/keysock.h projects/bhyve_svm/sys/netipsec/xform_ah.c projects/bhyve_svm/sys/netipsec/xform_esp.c projects/bhyve_svm/sys/netipsec/xform_ipcomp.c projects/bhyve_svm/sys/netipsec/xform_ipip.c projects/bhyve_svm/sys/netpfil/ipfw/ip_dummynet.c projects/bhyve_svm/sys/netpfil/ipfw/ip_fw2.c projects/bhyve_svm/sys/netpfil/ipfw/ip_fw_log.c projects/bhyve_svm/sys/netpfil/pf/if_pflog.c projects/bhyve_svm/sys/netpfil/pf/if_pfsync.c projects/bhyve_svm/sys/netpfil/pf/pf.c projects/bhyve_svm/sys/netpfil/pf/pf_ioctl.c projects/bhyve_svm/sys/netsmb/smb_conn.c projects/bhyve_svm/sys/netsmb/smb_conn.h projects/bhyve_svm/sys/netsmb/smb_dev.c projects/bhyve_svm/sys/netsmb/smb_dev.h projects/bhyve_svm/sys/netsmb/smb_trantcp.c projects/bhyve_svm/sys/nfs/bootp_subr.c projects/bhyve_svm/sys/nfsclient/nfs_bio.c projects/bhyve_svm/sys/nfsclient/nfs_nfsiod.c projects/bhyve_svm/sys/nfsclient/nfs_subs.c projects/bhyve_svm/sys/nfsclient/nfs_vfsops.c projects/bhyve_svm/sys/nfsclient/nfs_vnops.c projects/bhyve_svm/sys/nfsserver/nfs_serv.c projects/bhyve_svm/sys/nfsserver/nfs_srvkrpc.c projects/bhyve_svm/sys/ofed/drivers/infiniband/ulp/ipoib/ipoib_main.c projects/bhyve_svm/sys/ofed/drivers/infiniband/ulp/sdp/sdp_main.c projects/bhyve_svm/sys/ofed/drivers/net/mlx4/en_netdev.c projects/bhyve_svm/sys/ofed/drivers/net/mlx4/en_tx.c projects/bhyve_svm/sys/ofed/drivers/net/mlx4/eq.c projects/bhyve_svm/sys/ofed/drivers/net/mlx4/main.c projects/bhyve_svm/sys/ofed/include/linux/fs.h projects/bhyve_svm/sys/ofed/include/linux/linux_compat.c projects/bhyve_svm/sys/ofed/include/linux/module.h projects/bhyve_svm/sys/ofed/include/linux/sysfs.h projects/bhyve_svm/sys/ofed/include/linux/workqueue.h projects/bhyve_svm/sys/pc98/conf/GENERIC projects/bhyve_svm/sys/pc98/conf/NOTES projects/bhyve_svm/sys/pc98/pc98/canbus.c projects/bhyve_svm/sys/pc98/pc98/machdep.c projects/bhyve_svm/sys/pci/ncr.c projects/bhyve_svm/sys/powerpc/aim/mmu_oea.c projects/bhyve_svm/sys/powerpc/aim/mmu_oea64.c projects/bhyve_svm/sys/powerpc/aim/trap.c projects/bhyve_svm/sys/powerpc/aim/vm_machdep.c projects/bhyve_svm/sys/powerpc/booke/pmap.c projects/bhyve_svm/sys/powerpc/booke/vm_machdep.c projects/bhyve_svm/sys/powerpc/conf/GENERIC projects/bhyve_svm/sys/powerpc/conf/GENERIC64 projects/bhyve_svm/sys/powerpc/conf/MPC85XX projects/bhyve_svm/sys/powerpc/conf/Makefile projects/bhyve_svm/sys/powerpc/include/gdb_machdep.h projects/bhyve_svm/sys/powerpc/include/param.h projects/bhyve_svm/sys/powerpc/include/pcpu.h projects/bhyve_svm/sys/powerpc/include/spr.h projects/bhyve_svm/sys/powerpc/include/vmparam.h projects/bhyve_svm/sys/powerpc/ofw/ofw_cpu.c projects/bhyve_svm/sys/powerpc/ofw/ofw_real.c projects/bhyve_svm/sys/powerpc/ofw/ofw_syscons.c projects/bhyve_svm/sys/powerpc/powermac/ata_dbdma.c projects/bhyve_svm/sys/powerpc/powermac/ata_kauai.c projects/bhyve_svm/sys/powerpc/powermac/ata_macio.c projects/bhyve_svm/sys/powerpc/powermac/macio.c projects/bhyve_svm/sys/powerpc/powermac/maciovar.h projects/bhyve_svm/sys/powerpc/powermac/smu.c projects/bhyve_svm/sys/powerpc/powerpc/busdma_machdep.c projects/bhyve_svm/sys/powerpc/powerpc/uio_machdep.c projects/bhyve_svm/sys/powerpc/psim/ata_iobus.c projects/bhyve_svm/sys/powerpc/wii/platform_wii.c projects/bhyve_svm/sys/powerpc/wii/wii_bus.c projects/bhyve_svm/sys/powerpc/wii/wii_fb.c projects/bhyve_svm/sys/powerpc/wii/wii_gpio.c projects/bhyve_svm/sys/powerpc/wii/wii_gpioreg.h projects/bhyve_svm/sys/powerpc/wii/wii_ipcreg.h projects/bhyve_svm/sys/powerpc/wii/wii_pic.c projects/bhyve_svm/sys/powerpc/wii/wii_picreg.h projects/bhyve_svm/sys/rpc/rpcsec_gss.h projects/bhyve_svm/sys/rpc/rpcsec_gss/rpcsec_gss.c projects/bhyve_svm/sys/rpc/rpcsec_gss/rpcsec_gss_prot.c projects/bhyve_svm/sys/rpc/svc_vc.c projects/bhyve_svm/sys/security/audit/audit.c projects/bhyve_svm/sys/security/mac/mac_process.c projects/bhyve_svm/sys/sparc64/conf/GENERIC projects/bhyve_svm/sys/sparc64/include/atomic.h projects/bhyve_svm/sys/sparc64/include/param.h projects/bhyve_svm/sys/sparc64/include/pcpu.h projects/bhyve_svm/sys/sparc64/include/pmap.h projects/bhyve_svm/sys/sparc64/include/ucontext.h projects/bhyve_svm/sys/sparc64/include/vmparam.h projects/bhyve_svm/sys/sparc64/sparc64/machdep.c projects/bhyve_svm/sys/sparc64/sparc64/mp_machdep.c projects/bhyve_svm/sys/sparc64/sparc64/pmap.c projects/bhyve_svm/sys/sparc64/sparc64/uio_machdep.c projects/bhyve_svm/sys/sparc64/sparc64/vm_machdep.c projects/bhyve_svm/sys/sys/_cpuset.h projects/bhyve_svm/sys/sys/_rmlock.h projects/bhyve_svm/sys/sys/_types.h projects/bhyve_svm/sys/sys/aio.h projects/bhyve_svm/sys/sys/ata.h projects/bhyve_svm/sys/sys/buf.h projects/bhyve_svm/sys/sys/bufobj.h projects/bhyve_svm/sys/sys/bus.h projects/bhyve_svm/sys/sys/cdefs.h projects/bhyve_svm/sys/sys/chio.h projects/bhyve_svm/sys/sys/cpuset.h projects/bhyve_svm/sys/sys/elf_common.h projects/bhyve_svm/sys/sys/errno.h projects/bhyve_svm/sys/sys/eventhandler.h projects/bhyve_svm/sys/sys/extattr.h projects/bhyve_svm/sys/sys/filedesc.h projects/bhyve_svm/sys/sys/hhook.h projects/bhyve_svm/sys/sys/kernel.h projects/bhyve_svm/sys/sys/ksem.h projects/bhyve_svm/sys/sys/libkern.h projects/bhyve_svm/sys/sys/lock.h projects/bhyve_svm/sys/sys/lockmgr.h projects/bhyve_svm/sys/sys/malloc.h projects/bhyve_svm/sys/sys/mbuf.h projects/bhyve_svm/sys/sys/module_khelp.h projects/bhyve_svm/sys/sys/mount.h projects/bhyve_svm/sys/sys/mutex.h projects/bhyve_svm/sys/sys/param.h projects/bhyve_svm/sys/sys/pcpu.h projects/bhyve_svm/sys/sys/priv.h projects/bhyve_svm/sys/sys/proc.h projects/bhyve_svm/sys/sys/queue.h projects/bhyve_svm/sys/sys/rmlock.h projects/bhyve_svm/sys/sys/sbuf.h projects/bhyve_svm/sys/sys/sched.h projects/bhyve_svm/sys/sys/sdt.h projects/bhyve_svm/sys/sys/sf_buf.h projects/bhyve_svm/sys/sys/socket.h projects/bhyve_svm/sys/sys/socketvar.h projects/bhyve_svm/sys/sys/syscall.h projects/bhyve_svm/sys/sys/syscall.mk projects/bhyve_svm/sys/sys/syscallsubr.h projects/bhyve_svm/sys/sys/sysctl.h projects/bhyve_svm/sys/sys/syslog.h projects/bhyve_svm/sys/sys/sysproto.h projects/bhyve_svm/sys/sys/systm.h projects/bhyve_svm/sys/sys/time.h projects/bhyve_svm/sys/sys/timex.h projects/bhyve_svm/sys/sys/ttydefaults.h projects/bhyve_svm/sys/sys/ucontext.h projects/bhyve_svm/sys/sys/user.h projects/bhyve_svm/sys/sys/uuid.h projects/bhyve_svm/sys/sys/vnode.h projects/bhyve_svm/sys/ufs/ffs/ffs_alloc.c projects/bhyve_svm/sys/ufs/ffs/ffs_balloc.c projects/bhyve_svm/sys/ufs/ffs/ffs_inode.c projects/bhyve_svm/sys/ufs/ffs/ffs_snapshot.c projects/bhyve_svm/sys/ufs/ffs/ffs_softdep.c projects/bhyve_svm/sys/ufs/ffs/ffs_suspend.c projects/bhyve_svm/sys/ufs/ffs/ffs_vfsops.c projects/bhyve_svm/sys/ufs/ufs/dinode.h projects/bhyve_svm/sys/ufs/ufs/inode.h projects/bhyve_svm/sys/ufs/ufs/ufs_extattr.c projects/bhyve_svm/sys/ufs/ufs/ufs_vnops.c projects/bhyve_svm/sys/vm/swap_pager.c projects/bhyve_svm/sys/vm/uma.h projects/bhyve_svm/sys/vm/uma_core.c projects/bhyve_svm/sys/vm/uma_dbg.c projects/bhyve_svm/sys/vm/uma_int.h projects/bhyve_svm/sys/vm/vm.h projects/bhyve_svm/sys/vm/vm_extern.h projects/bhyve_svm/sys/vm/vm_fault.c projects/bhyve_svm/sys/vm/vm_glue.c projects/bhyve_svm/sys/vm/vm_init.c projects/bhyve_svm/sys/vm/vm_kern.c projects/bhyve_svm/sys/vm/vm_kern.h projects/bhyve_svm/sys/vm/vm_map.c projects/bhyve_svm/sys/vm/vm_map.h projects/bhyve_svm/sys/vm/vm_mmap.c projects/bhyve_svm/sys/vm/vm_object.c projects/bhyve_svm/sys/vm/vm_object.h projects/bhyve_svm/sys/vm/vm_page.c projects/bhyve_svm/sys/vm/vm_page.h projects/bhyve_svm/sys/vm/vm_pageout.c projects/bhyve_svm/sys/vm/vm_pager.c projects/bhyve_svm/sys/vm/vm_pager.h projects/bhyve_svm/sys/vm/vm_param.h projects/bhyve_svm/sys/vm/vm_phys.c projects/bhyve_svm/sys/vm/vm_phys.h projects/bhyve_svm/sys/vm/vm_radix.c projects/bhyve_svm/sys/vm/vm_reserv.c projects/bhyve_svm/sys/vm/vm_reserv.h projects/bhyve_svm/sys/vm/vnode_pager.c projects/bhyve_svm/sys/x86/acpica/srat.c projects/bhyve_svm/sys/x86/cpufreq/p4tcc.c projects/bhyve_svm/sys/x86/include/specialreg.h projects/bhyve_svm/sys/x86/x86/busdma_machdep.c projects/bhyve_svm/sys/x86/x86/local_apic.c projects/bhyve_svm/sys/x86/x86/tsc.c projects/bhyve_svm/sys/xen/evtchn/evtchn.c projects/bhyve_svm/sys/xen/interface/arch-ia64.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/cpuid.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/hvm/save.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/xen-mca.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/xen-x86_32.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/xen-x86_64.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/xen.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86_32.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/arch-x86_64.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/domctl.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/elfnote.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/event_channel.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/features.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/foreign/structs.py (contents, props changed) projects/bhyve_svm/sys/xen/interface/grant_table.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/hvm/hvm_info_table.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/hvm/hvm_op.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/hvm/ioreq.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/hvm/params.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/hvm/save.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/io/blkif.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/io/netif.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/io/pciif.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/io/protocols.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/io/ring.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/io/xs_wire.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/kexec.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/memory.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/nmi.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/physdev.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/platform.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/sched.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/sysctl.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/trace.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/vcpu.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/version.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/xen-compat.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/xen.h (contents, props changed) projects/bhyve_svm/sys/xen/interface/xenoprof.h (contents, props changed) projects/bhyve_svm/sys/xen/xenbus/xenbusb.c projects/bhyve_svm/sys/xen/xenstore/xenstore.c projects/bhyve_svm/tools/build/mk/OptionalObsoleteFiles.inc projects/bhyve_svm/tools/build/options/WITHOUT_JAIL projects/bhyve_svm/tools/build/options/WITHOUT_KERBEROS_SUPPORT projects/bhyve_svm/tools/build/options/WITHOUT_LEGACY_CONSOLE projects/bhyve_svm/tools/build/options/makeman projects/bhyve_svm/tools/regression/aio/aiop/aiop.c projects/bhyve_svm/tools/regression/aio/aiotest/aiotest.c projects/bhyve_svm/tools/regression/bin/test/regress.sh projects/bhyve_svm/tools/regression/file/dup/dup.c projects/bhyve_svm/tools/regression/filemon/Makefile projects/bhyve_svm/tools/regression/filemon/filemontest.c projects/bhyve_svm/tools/regression/filemon/test_script.sh projects/bhyve_svm/tools/regression/filemon/timed-forkb.c projects/bhyve_svm/tools/regression/lib/libc/gen/Makefile projects/bhyve_svm/tools/regression/lib/libc/gen/test-fpclassify.c projects/bhyve_svm/tools/regression/lib/libc/gen/test-ftw.c projects/bhyve_svm/tools/regression/lib/libc/gen/test-wordexp.c projects/bhyve_svm/tools/regression/lib/libc/locale/Makefile projects/bhyve_svm/tools/regression/lib/libc/locale/test-btowc.c projects/bhyve_svm/tools/regression/lib/libc/locale/test-iswctype.c projects/bhyve_svm/tools/regression/lib/libc/locale/test-towctrans.c projects/bhyve_svm/tools/regression/lib/libc/nss/test-getaddr.c projects/bhyve_svm/tools/regression/lib/libc/nss/test-getgr.c projects/bhyve_svm/tools/regression/lib/libc/nss/test-gethostby.c projects/bhyve_svm/tools/regression/lib/libc/nss/test-getproto.c projects/bhyve_svm/tools/regression/lib/libc/nss/test-getpw.c projects/bhyve_svm/tools/regression/lib/libc/nss/test-getrpc.c projects/bhyve_svm/tools/regression/lib/libc/nss/test-getusershell.c projects/bhyve_svm/tools/regression/lib/libc/nss/testutil.h projects/bhyve_svm/tools/regression/lib/msun/Makefile projects/bhyve_svm/tools/regression/lib/msun/test-cexp.c projects/bhyve_svm/tools/regression/lib/msun/test-conj.c projects/bhyve_svm/tools/regression/lib/msun/test-csqrt.c projects/bhyve_svm/tools/regression/lib/msun/test-ctrig.c projects/bhyve_svm/tools/regression/lib/msun/test-exponential.c projects/bhyve_svm/tools/regression/lib/msun/test-fma.c projects/bhyve_svm/tools/regression/lib/msun/test-fmaxmin.c projects/bhyve_svm/tools/regression/lib/msun/test-invtrig.c projects/bhyve_svm/tools/regression/lib/msun/test-logarithm.c projects/bhyve_svm/tools/regression/lib/msun/test-nearbyint.c projects/bhyve_svm/tools/regression/lib/msun/test-next.c projects/bhyve_svm/tools/regression/lib/msun/test-rem.c projects/bhyve_svm/tools/regression/lib/msun/test-trig.c projects/bhyve_svm/tools/regression/pjdfstest/pjdfstest.c projects/bhyve_svm/tools/regression/priv/Makefile projects/bhyve_svm/tools/regression/pthread/cv_cancel1/cv_cancel1.c projects/bhyve_svm/tools/regression/sbin/dhclient/Makefile projects/bhyve_svm/tools/regression/usr.bin/xargs/regress.sh projects/bhyve_svm/tools/regression/usr.bin/yacc/grammar.y projects/bhyve_svm/tools/regression/usr.bin/yacc/regress.08.out projects/bhyve_svm/tools/test/dtrace/Makefile projects/bhyve_svm/tools/test/hwpmc/pmctest.py projects/bhyve_svm/tools/tools/ath/Makefile projects/bhyve_svm/tools/tools/ath/Makefile.inc projects/bhyve_svm/tools/tools/ath/athalq/Makefile projects/bhyve_svm/tools/tools/ath/athalq/ar9300_ds.c projects/bhyve_svm/tools/tools/ath/athalq/main.c projects/bhyve_svm/tools/tools/ath/athspectral/athspectral.c projects/bhyve_svm/tools/tools/ath/athstats/athstats.c projects/bhyve_svm/tools/tools/bootparttest/bootparttest.c projects/bhyve_svm/tools/tools/crypto/ipsecstats.c projects/bhyve_svm/tools/tools/cxgbetool/cxgbetool.c projects/bhyve_svm/tools/tools/nanobsd/gateworks/common projects/bhyve_svm/tools/tools/nanobsd/nanobsd.sh projects/bhyve_svm/tools/tools/netmap/Makefile projects/bhyve_svm/tools/tools/netmap/README projects/bhyve_svm/tools/tools/netmap/bridge.c projects/bhyve_svm/tools/tools/netmap/nm_util.c projects/bhyve_svm/tools/tools/netmap/pcap.c projects/bhyve_svm/tools/tools/netmap/pkt-gen.c projects/bhyve_svm/tools/tools/notescheck/notescheck.py projects/bhyve_svm/tools/tools/pciroms/pciroms.c projects/bhyve_svm/tools/tools/sysbuild/sysbuild.sh projects/bhyve_svm/tools/tools/sysdoc/tunables.mdoc projects/bhyve_svm/tools/tools/umastat/umastat.c projects/bhyve_svm/tools/tools/zfsboottest/Makefile projects/bhyve_svm/tools/tools/zfsboottest/zfsboottest.c projects/bhyve_svm/tools/tools/zfsboottest/zfsboottest.sh projects/bhyve_svm/usr.bin/Makefile projects/bhyve_svm/usr.bin/Makefile.amd64 projects/bhyve_svm/usr.bin/Makefile.i386 projects/bhyve_svm/usr.bin/Makefile.ia64 projects/bhyve_svm/usr.bin/Makefile.powerpc projects/bhyve_svm/usr.bin/Makefile.sparc64 projects/bhyve_svm/usr.bin/ar/Makefile projects/bhyve_svm/usr.bin/ar/acplex.l projects/bhyve_svm/usr.bin/at/at.c projects/bhyve_svm/usr.bin/at/privs.h (contents, props changed) projects/bhyve_svm/usr.bin/bc/Makefile projects/bhyve_svm/usr.bin/bc/scan.l projects/bhyve_svm/usr.bin/biff/biff.1 projects/bhyve_svm/usr.bin/bmake/Makefile projects/bhyve_svm/usr.bin/bmake/Makefile.inc projects/bhyve_svm/usr.bin/bmake/config.h projects/bhyve_svm/usr.bin/bmake/unit-tests/Makefile projects/bhyve_svm/usr.bin/bzip2recover/Makefile projects/bhyve_svm/usr.bin/calendar/calendar.1 projects/bhyve_svm/usr.bin/calendar/calendar.h projects/bhyve_svm/usr.bin/calendar/calendars/calendar.birthday projects/bhyve_svm/usr.bin/calendar/calendars/calendar.dutch projects/bhyve_svm/usr.bin/calendar/calendars/calendar.freebsd projects/bhyve_svm/usr.bin/calendar/calendars/calendar.history projects/bhyve_svm/usr.bin/calendar/dates.c projects/bhyve_svm/usr.bin/calendar/io.c projects/bhyve_svm/usr.bin/calendar/parsedata.c projects/bhyve_svm/usr.bin/calendar/pathnames.h projects/bhyve_svm/usr.bin/calendar/sunpos.c projects/bhyve_svm/usr.bin/clang/bugpoint/Makefile projects/bhyve_svm/usr.bin/clang/bugpoint/bugpoint.1 projects/bhyve_svm/usr.bin/clang/clang-tblgen/Makefile projects/bhyve_svm/usr.bin/clang/clang/Makefile projects/bhyve_svm/usr.bin/clang/clang/clang.1 projects/bhyve_svm/usr.bin/clang/llc/Makefile projects/bhyve_svm/usr.bin/clang/llc/llc.1 projects/bhyve_svm/usr.bin/clang/lli/Makefile projects/bhyve_svm/usr.bin/clang/lli/lli.1 projects/bhyve_svm/usr.bin/clang/llvm-ar/Makefile projects/bhyve_svm/usr.bin/clang/llvm-ar/llvm-ar.1 projects/bhyve_svm/usr.bin/clang/llvm-as/Makefile projects/bhyve_svm/usr.bin/clang/llvm-as/llvm-as.1 projects/bhyve_svm/usr.bin/clang/llvm-bcanalyzer/Makefile projects/bhyve_svm/usr.bin/clang/llvm-bcanalyzer/llvm-bcanalyzer.1 projects/bhyve_svm/usr.bin/clang/llvm-diff/Makefile projects/bhyve_svm/usr.bin/clang/llvm-diff/llvm-diff.1 projects/bhyve_svm/usr.bin/clang/llvm-dis/Makefile projects/bhyve_svm/usr.bin/clang/llvm-dis/llvm-dis.1 projects/bhyve_svm/usr.bin/clang/llvm-extract/Makefile projects/bhyve_svm/usr.bin/clang/llvm-extract/llvm-extract.1 projects/bhyve_svm/usr.bin/clang/llvm-link/Makefile projects/bhyve_svm/usr.bin/clang/llvm-link/llvm-link.1 projects/bhyve_svm/usr.bin/clang/llvm-mc/Makefile projects/bhyve_svm/usr.bin/clang/llvm-nm/llvm-nm.1 projects/bhyve_svm/usr.bin/clang/llvm-objdump/Makefile projects/bhyve_svm/usr.bin/clang/llvm-prof/llvm-prof.1 projects/bhyve_svm/usr.bin/clang/llvm-ranlib/Makefile projects/bhyve_svm/usr.bin/clang/llvm-ranlib/llvm-ranlib.1 projects/bhyve_svm/usr.bin/clang/llvm-rtdyld/Makefile projects/bhyve_svm/usr.bin/clang/opt/Makefile projects/bhyve_svm/usr.bin/clang/opt/opt.1 projects/bhyve_svm/usr.bin/clang/tblgen/Makefile projects/bhyve_svm/usr.bin/clang/tblgen/tblgen.1 projects/bhyve_svm/usr.bin/csup/token.l projects/bhyve_svm/usr.bin/csup/updater.h projects/bhyve_svm/usr.bin/ctlstat/ctlstat.8 projects/bhyve_svm/usr.bin/ctlstat/ctlstat.c projects/bhyve_svm/usr.bin/dtc/checking.cc projects/bhyve_svm/usr.bin/dtc/dtc.1 projects/bhyve_svm/usr.bin/dtc/fdt.cc projects/bhyve_svm/usr.bin/fetch/fetch.1 projects/bhyve_svm/usr.bin/fetch/fetch.c projects/bhyve_svm/usr.bin/find/Makefile projects/bhyve_svm/usr.bin/find/function.c projects/bhyve_svm/usr.bin/fstat/fstat.1 projects/bhyve_svm/usr.bin/fstat/fstat.c projects/bhyve_svm/usr.bin/fstat/fuser.1 projects/bhyve_svm/usr.bin/gcore/Makefile projects/bhyve_svm/usr.bin/gcore/elfcore.c projects/bhyve_svm/usr.bin/getent/getent.c projects/bhyve_svm/usr.bin/grep/Makefile projects/bhyve_svm/usr.bin/grep/regex/tre-fastmatch.c projects/bhyve_svm/usr.bin/iconv/iconv.c projects/bhyve_svm/usr.bin/indent/Makefile projects/bhyve_svm/usr.bin/kdump/kdump.c projects/bhyve_svm/usr.bin/killall/killall.1 projects/bhyve_svm/usr.bin/killall/killall.c projects/bhyve_svm/usr.bin/lex/Makefile projects/bhyve_svm/usr.bin/lex/config.h projects/bhyve_svm/usr.bin/lex/initscan.c projects/bhyve_svm/usr.bin/lex/lex.1 projects/bhyve_svm/usr.bin/lex/lib/Makefile projects/bhyve_svm/usr.bin/lockf/lockf.1 projects/bhyve_svm/usr.bin/lockf/lockf.c projects/bhyve_svm/usr.bin/lsvfs/lsvfs.1 projects/bhyve_svm/usr.bin/lsvfs/lsvfs.c projects/bhyve_svm/usr.bin/m4/Makefile projects/bhyve_svm/usr.bin/m4/TEST/ack.m4 projects/bhyve_svm/usr.bin/m4/TEST/hanoi.m4 projects/bhyve_svm/usr.bin/m4/TEST/hash.m4 projects/bhyve_svm/usr.bin/m4/TEST/sqroot.m4 projects/bhyve_svm/usr.bin/m4/TEST/string.m4 projects/bhyve_svm/usr.bin/m4/TEST/test.m4 projects/bhyve_svm/usr.bin/m4/eval.c projects/bhyve_svm/usr.bin/m4/extern.h projects/bhyve_svm/usr.bin/m4/lib/ohash_init.3 projects/bhyve_svm/usr.bin/m4/lib/ohash_interval.3 projects/bhyve_svm/usr.bin/m4/m4.1 projects/bhyve_svm/usr.bin/m4/main.c projects/bhyve_svm/usr.bin/m4/parser.y projects/bhyve_svm/usr.bin/m4/tokenizer.l projects/bhyve_svm/usr.bin/mail/popen.c projects/bhyve_svm/usr.bin/make/Makefile projects/bhyve_svm/usr.bin/make/job.c projects/bhyve_svm/usr.bin/mkcsmapper/Makefile projects/bhyve_svm/usr.bin/mkcsmapper/ldef.h projects/bhyve_svm/usr.bin/mkcsmapper/lex.l projects/bhyve_svm/usr.bin/mkcsmapper/yacc.y projects/bhyve_svm/usr.bin/mkesdb/Makefile projects/bhyve_svm/usr.bin/mkesdb/ldef.h projects/bhyve_svm/usr.bin/mkesdb/lex.l projects/bhyve_svm/usr.bin/mkesdb/yacc.y projects/bhyve_svm/usr.bin/mklocale/Makefile projects/bhyve_svm/usr.bin/mklocale/lex.l projects/bhyve_svm/usr.bin/netstat/inet.c projects/bhyve_svm/usr.bin/netstat/inet6.c projects/bhyve_svm/usr.bin/netstat/ipsec.c projects/bhyve_svm/usr.bin/netstat/main.c projects/bhyve_svm/usr.bin/netstat/mbuf.c projects/bhyve_svm/usr.bin/netstat/mroute.c projects/bhyve_svm/usr.bin/netstat/netstat.1 projects/bhyve_svm/usr.bin/netstat/netstat.h projects/bhyve_svm/usr.bin/netstat/pfkey.c projects/bhyve_svm/usr.bin/netstat/route.c projects/bhyve_svm/usr.bin/nfsstat/nfsstat.1 projects/bhyve_svm/usr.bin/nfsstat/nfsstat.c projects/bhyve_svm/usr.bin/patch/Makefile projects/bhyve_svm/usr.bin/patch/patch.1 projects/bhyve_svm/usr.bin/patch/patch.c projects/bhyve_svm/usr.bin/patch/pch.c projects/bhyve_svm/usr.bin/procstat/procstat.1 projects/bhyve_svm/usr.bin/procstat/procstat.c projects/bhyve_svm/usr.bin/procstat/procstat.h projects/bhyve_svm/usr.bin/procstat/procstat_args.c projects/bhyve_svm/usr.bin/procstat/procstat_auxv.c projects/bhyve_svm/usr.bin/procstat/procstat_bin.c projects/bhyve_svm/usr.bin/procstat/procstat_cred.c projects/bhyve_svm/usr.bin/procstat/procstat_kstack.c projects/bhyve_svm/usr.bin/procstat/procstat_rlimit.c projects/bhyve_svm/usr.bin/procstat/procstat_sigs.c projects/bhyve_svm/usr.bin/procstat/procstat_threads.c projects/bhyve_svm/usr.bin/procstat/procstat_vm.c projects/bhyve_svm/usr.bin/rctl/rctl.8 projects/bhyve_svm/usr.bin/rwho/rwho.c projects/bhyve_svm/usr.bin/script/script.1 projects/bhyve_svm/usr.bin/sed/main.c projects/bhyve_svm/usr.bin/sort/bwstring.c projects/bhyve_svm/usr.bin/sort/bwstring.h projects/bhyve_svm/usr.bin/sort/coll.c projects/bhyve_svm/usr.bin/sort/coll.h projects/bhyve_svm/usr.bin/sort/file.c projects/bhyve_svm/usr.bin/sort/file.h projects/bhyve_svm/usr.bin/sort/mem.c projects/bhyve_svm/usr.bin/sort/mem.h projects/bhyve_svm/usr.bin/sort/radixsort.c projects/bhyve_svm/usr.bin/sort/radixsort.h projects/bhyve_svm/usr.bin/sort/sort.1.in projects/bhyve_svm/usr.bin/sort/sort.c projects/bhyve_svm/usr.bin/sort/sort.h projects/bhyve_svm/usr.bin/sort/vsort.c projects/bhyve_svm/usr.bin/sort/vsort.h projects/bhyve_svm/usr.bin/split/split.1 projects/bhyve_svm/usr.bin/split/split.c projects/bhyve_svm/usr.bin/systat/Makefile projects/bhyve_svm/usr.bin/systat/cmdtab.c projects/bhyve_svm/usr.bin/systat/extern.h projects/bhyve_svm/usr.bin/systat/systat.1 projects/bhyve_svm/usr.bin/tail/extern.h projects/bhyve_svm/usr.bin/tail/forward.c projects/bhyve_svm/usr.bin/tail/misc.c projects/bhyve_svm/usr.bin/tail/tail.c projects/bhyve_svm/usr.bin/top/machine.c projects/bhyve_svm/usr.bin/touch/touch.c projects/bhyve_svm/usr.bin/truss/syscall.h projects/bhyve_svm/usr.bin/truss/syscalls.c projects/bhyve_svm/usr.bin/uniq/uniq.c projects/bhyve_svm/usr.bin/usbhidctl/usbhidctl.1 projects/bhyve_svm/usr.bin/xargs/xargs.c projects/bhyve_svm/usr.bin/xinstall/install.1 projects/bhyve_svm/usr.bin/xlint/lint1/scan.l projects/bhyve_svm/usr.sbin/Makefile projects/bhyve_svm/usr.sbin/Makefile.amd64 projects/bhyve_svm/usr.sbin/Makefile.i386 projects/bhyve_svm/usr.sbin/Makefile.ia64 projects/bhyve_svm/usr.sbin/Makefile.powerpc projects/bhyve_svm/usr.sbin/Makefile.sparc64 projects/bhyve_svm/usr.sbin/acpi/acpidb/Makefile projects/bhyve_svm/usr.sbin/acpi/acpidb/acpidb.c projects/bhyve_svm/usr.sbin/acpi/acpidump/acpi.c projects/bhyve_svm/usr.sbin/acpi/acpidump/acpi_user.c projects/bhyve_svm/usr.sbin/acpi/iasl/Makefile projects/bhyve_svm/usr.sbin/apmd/apmdlex.l projects/bhyve_svm/usr.sbin/arp/arp.4 projects/bhyve_svm/usr.sbin/auditdistd/Makefile projects/bhyve_svm/usr.sbin/authpf/Makefile projects/bhyve_svm/usr.sbin/bhyve/Makefile projects/bhyve_svm/usr.sbin/bhyve/atpic.c projects/bhyve_svm/usr.sbin/bhyve/bhyverun.c projects/bhyve_svm/usr.sbin/bhyve/consport.c projects/bhyve_svm/usr.sbin/bhyve/dbgport.c projects/bhyve_svm/usr.sbin/bhyve/inout.c projects/bhyve_svm/usr.sbin/bhyve/inout.h projects/bhyve_svm/usr.sbin/bhyve/mem.c projects/bhyve_svm/usr.sbin/bhyve/mem.h projects/bhyve_svm/usr.sbin/bhyve/mptbl.c projects/bhyve_svm/usr.sbin/bhyve/pci_emul.c projects/bhyve_svm/usr.sbin/bhyve/pci_emul.h projects/bhyve_svm/usr.sbin/bhyve/pci_virtio_block.c projects/bhyve_svm/usr.sbin/bhyve/pci_virtio_net.c projects/bhyve_svm/usr.sbin/bhyve/pmtmr.c projects/bhyve_svm/usr.sbin/bhyve/rtc.c projects/bhyve_svm/usr.sbin/bhyve/virtio.h projects/bhyve_svm/usr.sbin/bluetooth/ath3kfw/ath3kfw.c projects/bhyve_svm/usr.sbin/bluetooth/bthidd/Makefile projects/bhyve_svm/usr.sbin/bluetooth/bthidd/lexer.l projects/bhyve_svm/usr.sbin/bluetooth/hccontrol/link_control.c projects/bhyve_svm/usr.sbin/bluetooth/l2ping/l2ping.8 projects/bhyve_svm/usr.sbin/bootparamd/bootparamd/bootparamd.8 projects/bhyve_svm/usr.sbin/bsdconfig/Makefile projects/bhyve_svm/usr.sbin/bsdconfig/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/bsdconfig projects/bhyve_svm/usr.sbin/bsdconfig/bsdconfig.8 projects/bhyve_svm/usr.sbin/bsdconfig/console/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/console/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/console/console projects/bhyve_svm/usr.sbin/bsdconfig/console/font projects/bhyve_svm/usr.sbin/bsdconfig/console/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/console/keymap projects/bhyve_svm/usr.sbin/bsdconfig/console/repeat projects/bhyve_svm/usr.sbin/bsdconfig/console/saver projects/bhyve_svm/usr.sbin/bsdconfig/console/screenmap projects/bhyve_svm/usr.sbin/bsdconfig/console/ttys projects/bhyve_svm/usr.sbin/bsdconfig/diskmgmt/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/diskmgmt/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/diskmgmt/diskmgmt projects/bhyve_svm/usr.sbin/bsdconfig/docsinstall/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/docsinstall/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/docsinstall/docsinstall projects/bhyve_svm/usr.sbin/bsdconfig/dot/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/dot/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/dot/dot projects/bhyve_svm/usr.sbin/bsdconfig/examples/Makefile projects/bhyve_svm/usr.sbin/bsdconfig/examples/bsdconfigrc projects/bhyve_svm/usr.sbin/bsdconfig/include/media.hlp projects/bhyve_svm/usr.sbin/bsdconfig/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/include/options.hlp projects/bhyve_svm/usr.sbin/bsdconfig/mouse/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/mouse/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/mouse/disable projects/bhyve_svm/usr.sbin/bsdconfig/mouse/enable projects/bhyve_svm/usr.sbin/bsdconfig/mouse/flags projects/bhyve_svm/usr.sbin/bsdconfig/mouse/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/mouse/mouse projects/bhyve_svm/usr.sbin/bsdconfig/mouse/port projects/bhyve_svm/usr.sbin/bsdconfig/mouse/type projects/bhyve_svm/usr.sbin/bsdconfig/networking/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/networking/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/networking/defaultrouter projects/bhyve_svm/usr.sbin/bsdconfig/networking/devices projects/bhyve_svm/usr.sbin/bsdconfig/networking/hostname projects/bhyve_svm/usr.sbin/bsdconfig/networking/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/nameservers projects/bhyve_svm/usr.sbin/bsdconfig/networking/networking projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/Makefile projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/common.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/device.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/hostname.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/ipaddr.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/media.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/netmask.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/resolv.subr projects/bhyve_svm/usr.sbin/bsdconfig/networking/share/routing.subr projects/bhyve_svm/usr.sbin/bsdconfig/password/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/password/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/password/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/password/password projects/bhyve_svm/usr.sbin/bsdconfig/password/share/password.subr projects/bhyve_svm/usr.sbin/bsdconfig/security/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/security/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/security/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/security/kern_securelevel projects/bhyve_svm/usr.sbin/bsdconfig/security/security projects/bhyve_svm/usr.sbin/bsdconfig/share/Makefile projects/bhyve_svm/usr.sbin/bsdconfig/share/common.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/device.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/dialog.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/Makefile projects/bhyve_svm/usr.sbin/bsdconfig/share/media/any.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/cdrom.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/common.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/directory.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/dos.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/floppy.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/ftp.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/httpproxy.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/network.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/nfs.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/options.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/tcpip.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/ufs.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/media/usb.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/mustberoot.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/script.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/strings.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/struct.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/sysrc.subr projects/bhyve_svm/usr.sbin/bsdconfig/share/variable.subr projects/bhyve_svm/usr.sbin/bsdconfig/startup/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/startup/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/startup/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/startup/misc projects/bhyve_svm/usr.sbin/bsdconfig/startup/rcadd projects/bhyve_svm/usr.sbin/bsdconfig/startup/rcconf projects/bhyve_svm/usr.sbin/bsdconfig/startup/rcdelete projects/bhyve_svm/usr.sbin/bsdconfig/startup/rcedit projects/bhyve_svm/usr.sbin/bsdconfig/startup/rcvar projects/bhyve_svm/usr.sbin/bsdconfig/startup/share/rcconf.subr projects/bhyve_svm/usr.sbin/bsdconfig/startup/share/rcedit.subr projects/bhyve_svm/usr.sbin/bsdconfig/startup/share/rcvar.subr projects/bhyve_svm/usr.sbin/bsdconfig/startup/startup projects/bhyve_svm/usr.sbin/bsdconfig/timezone/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/timezone/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/timezone/share/continents.subr projects/bhyve_svm/usr.sbin/bsdconfig/timezone/share/countries.subr projects/bhyve_svm/usr.sbin/bsdconfig/timezone/share/iso3166.subr projects/bhyve_svm/usr.sbin/bsdconfig/timezone/share/menus.subr projects/bhyve_svm/usr.sbin/bsdconfig/timezone/share/zones.subr projects/bhyve_svm/usr.sbin/bsdconfig/timezone/timezone projects/bhyve_svm/usr.sbin/bsdconfig/ttys/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/ttys/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/ttys/ttys projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/INDEX projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/USAGE projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/groupadd projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/groupdel projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/groupedit projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/groupinput projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/include/messages.subr projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/share/group_input.subr projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/share/user_input.subr projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/useradd projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/userdel projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/useredit projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/userinput projects/bhyve_svm/usr.sbin/bsdconfig/usermgmt/usermgmt projects/bhyve_svm/usr.sbin/bsdinstall/distextract/Makefile projects/bhyve_svm/usr.sbin/bsdinstall/distfetch/Makefile projects/bhyve_svm/usr.sbin/bsdinstall/partedit/Makefile projects/bhyve_svm/usr.sbin/bsdinstall/partedit/diskeditor.c projects/bhyve_svm/usr.sbin/bsdinstall/scripts/mirrorselect projects/bhyve_svm/usr.sbin/bsnmpd/modules/Makefile projects/bhyve_svm/usr.sbin/bsnmpd/modules/Makefile.inc projects/bhyve_svm/usr.sbin/bsnmpd/tools/bsnmptools/bsnmpget.1 projects/bhyve_svm/usr.sbin/config/Makefile projects/bhyve_svm/usr.sbin/config/lang.l projects/bhyve_svm/usr.sbin/config/main.c projects/bhyve_svm/usr.sbin/ctladm/ctladm.8 projects/bhyve_svm/usr.sbin/ctladm/ctladm.c projects/bhyve_svm/usr.sbin/dumpcis/printcis.c projects/bhyve_svm/usr.sbin/extattr/rmextattr.c projects/bhyve_svm/usr.sbin/fifolog/lib/Makefile projects/bhyve_svm/usr.sbin/freebsd-update/freebsd-update.8 projects/bhyve_svm/usr.sbin/gssd/gssd.8 projects/bhyve_svm/usr.sbin/gssd/gssd.c projects/bhyve_svm/usr.sbin/jail/Makefile projects/bhyve_svm/usr.sbin/jail/jaillex.l projects/bhyve_svm/usr.sbin/jls/jls.8 projects/bhyve_svm/usr.sbin/jls/jls.c projects/bhyve_svm/usr.sbin/kbdcontrol/lex.l projects/bhyve_svm/usr.sbin/kldxref/ef.c projects/bhyve_svm/usr.sbin/kldxref/ef_amd64.c projects/bhyve_svm/usr.sbin/kldxref/ef_i386.c projects/bhyve_svm/usr.sbin/kldxref/ef_obj.c projects/bhyve_svm/usr.sbin/kldxref/kldxref.c projects/bhyve_svm/usr.sbin/lpr/common_source/common.c projects/bhyve_svm/usr.sbin/lpr/common_source/lp.cdefs.h projects/bhyve_svm/usr.sbin/makefs/Makefile projects/bhyve_svm/usr.sbin/makefs/cd9660.c projects/bhyve_svm/usr.sbin/makefs/cd9660/iso9660_rrip.c projects/bhyve_svm/usr.sbin/makefs/ffs/ufs_bswap.h projects/bhyve_svm/usr.sbin/makefs/makefs.8 projects/bhyve_svm/usr.sbin/makefs/makefs.h projects/bhyve_svm/usr.sbin/makefs/mtree.c projects/bhyve_svm/usr.sbin/makefs/walk.c projects/bhyve_svm/usr.sbin/mergemaster/mergemaster.8 projects/bhyve_svm/usr.sbin/mergemaster/mergemaster.sh projects/bhyve_svm/usr.sbin/mfiutil/Makefile projects/bhyve_svm/usr.sbin/mfiutil/mfi_config.c projects/bhyve_svm/usr.sbin/mfiutil/mfi_show.c projects/bhyve_svm/usr.sbin/mfiutil/mfi_volume.c projects/bhyve_svm/usr.sbin/mfiutil/mfiutil.8 projects/bhyve_svm/usr.sbin/mfiutil/mfiutil.c projects/bhyve_svm/usr.sbin/mfiutil/mfiutil.h projects/bhyve_svm/usr.sbin/mptutil/mptutil.8 projects/bhyve_svm/usr.sbin/named/Makefile projects/bhyve_svm/usr.sbin/nandsim/nandsim_cfgparse.c projects/bhyve_svm/usr.sbin/ndiscvt/inf-token.l projects/bhyve_svm/usr.sbin/ndp/ndp.8 projects/bhyve_svm/usr.sbin/ndp/ndp.c projects/bhyve_svm/usr.sbin/newsyslog/newsyslog.c projects/bhyve_svm/usr.sbin/nfsd/nfsv4.4 projects/bhyve_svm/usr.sbin/nmtree/Makefile projects/bhyve_svm/usr.sbin/nvram/nvram.c projects/bhyve_svm/usr.sbin/pc-sysinstall/backend-query/disk-list.sh projects/bhyve_svm/usr.sbin/pciconf/cap.c projects/bhyve_svm/usr.sbin/pkg/config.c projects/bhyve_svm/usr.sbin/pkg/dns_utils.c projects/bhyve_svm/usr.sbin/pkg_install/add/extract.c projects/bhyve_svm/usr.sbin/pkg_install/create/pl.c projects/bhyve_svm/usr.sbin/pkg_install/lib/exec.c projects/bhyve_svm/usr.sbin/pkg_install/version/pkg_version.1 projects/bhyve_svm/usr.sbin/pmcannotate/pmcannotate.8 projects/bhyve_svm/usr.sbin/pmcannotate/pmcannotate.c projects/bhyve_svm/usr.sbin/portsnap/portsnap/portsnap.8 projects/bhyve_svm/usr.sbin/portsnap/portsnap/portsnap.sh projects/bhyve_svm/usr.sbin/powerd/powerd.8 projects/bhyve_svm/usr.sbin/powerd/powerd.c projects/bhyve_svm/usr.sbin/ppp/Makefile projects/bhyve_svm/usr.sbin/ppp/command.c projects/bhyve_svm/usr.sbin/ppp/defs.c projects/bhyve_svm/usr.sbin/ppp/defs.h projects/bhyve_svm/usr.sbin/pw/pw.conf.5 projects/bhyve_svm/usr.sbin/pw/pw_user.c projects/bhyve_svm/usr.sbin/rarpd/rarpd.c projects/bhyve_svm/usr.sbin/rrenumd/lexer.l projects/bhyve_svm/usr.sbin/rtadvctl/rtadvctl.c projects/bhyve_svm/usr.sbin/rtadvd/config.c projects/bhyve_svm/usr.sbin/rtadvd/rrenum.c projects/bhyve_svm/usr.sbin/rtadvd/rtadvd.c projects/bhyve_svm/usr.sbin/rtadvd/rtadvd.h projects/bhyve_svm/usr.sbin/rtadvd/timer.c projects/bhyve_svm/usr.sbin/rtadvd/timer.h projects/bhyve_svm/usr.sbin/rtadvd/timer_subr.c projects/bhyve_svm/usr.sbin/rtadvd/timer_subr.h projects/bhyve_svm/usr.sbin/rtsold/dump.c projects/bhyve_svm/usr.sbin/rtsold/rtsol.c projects/bhyve_svm/usr.sbin/rtsold/rtsold.8 projects/bhyve_svm/usr.sbin/rtsold/rtsold.c projects/bhyve_svm/usr.sbin/rtsold/rtsold.h projects/bhyve_svm/usr.sbin/rwhod/rwhod.c projects/bhyve_svm/usr.sbin/services_mkdb/services_mkdb.8 projects/bhyve_svm/usr.sbin/syslogd/syslogd.c projects/bhyve_svm/usr.sbin/sysrc/sysrc projects/bhyve_svm/usr.sbin/sysrc/sysrc.8 projects/bhyve_svm/usr.sbin/tcpdump/tcpdump/Makefile projects/bhyve_svm/usr.sbin/tcpdump/tcpdump/config.h projects/bhyve_svm/usr.sbin/tcpdump/tcpdump/tcpdump.1 projects/bhyve_svm/usr.sbin/timed/timed/timed.8 projects/bhyve_svm/usr.sbin/uhsoctl/uhsoctl.1 projects/bhyve_svm/usr.sbin/vidcontrol/vidcontrol.c projects/bhyve_svm/usr.sbin/wake/wake.8 projects/bhyve_svm/usr.sbin/watchdogd/watchdogd.8 projects/bhyve_svm/usr.sbin/watchdogd/watchdogd.c projects/bhyve_svm/usr.sbin/wpa/Makefile.crypto projects/bhyve_svm/usr.sbin/wpa/Makefile.inc projects/bhyve_svm/usr.sbin/wpa/hostapd/Makefile projects/bhyve_svm/usr.sbin/wpa/hostapd_cli/Makefile projects/bhyve_svm/usr.sbin/wpa/wpa_cli/Makefile projects/bhyve_svm/usr.sbin/wpa/wpa_passphrase/Makefile projects/bhyve_svm/usr.sbin/wpa/wpa_supplicant/Makefile projects/bhyve_svm/usr.sbin/ypserv/yp_access.c projects/bhyve_svm/usr.sbin/ypserv/yp_dnslookup.c projects/bhyve_svm/usr.sbin/ypserv/yp_main.c Directory Properties: projects/bhyve_svm/ (props changed) projects/bhyve_svm/cddl/ (props changed) projects/bhyve_svm/cddl/contrib/opensolaris/ (props changed) projects/bhyve_svm/cddl/contrib/opensolaris/cmd/dtrace/test/tst/common/print/ (props changed) projects/bhyve_svm/cddl/contrib/opensolaris/cmd/zfs/ (props changed) projects/bhyve_svm/cddl/contrib/opensolaris/lib/libzfs/ (props changed) projects/bhyve_svm/contrib/atf/ (props changed) projects/bhyve_svm/contrib/bind9/ (props changed) projects/bhyve_svm/contrib/binutils/ (props changed) projects/bhyve_svm/contrib/bmake/ (props changed) projects/bhyve_svm/contrib/byacc/ (props changed) projects/bhyve_svm/contrib/dialog/ (props changed) projects/bhyve_svm/contrib/gcc/ (props changed) projects/bhyve_svm/contrib/gdb/ (props changed) projects/bhyve_svm/contrib/ipfilter/ (props changed) projects/bhyve_svm/contrib/ldns/ (props changed) projects/bhyve_svm/contrib/less/ (props changed) projects/bhyve_svm/contrib/libarchive/ (props changed) projects/bhyve_svm/contrib/libarchive/libarchive/ (props changed) projects/bhyve_svm/contrib/libc++/ (props changed) projects/bhyve_svm/contrib/libcxxrt/ (props changed) projects/bhyve_svm/contrib/libpcap/ (props changed) projects/bhyve_svm/contrib/libstdc++/ (props changed) projects/bhyve_svm/contrib/llvm/ (props changed) projects/bhyve_svm/contrib/llvm/tools/clang/ (props changed) projects/bhyve_svm/contrib/mtree/ (props changed) projects/bhyve_svm/contrib/netcat/ (props changed) projects/bhyve_svm/contrib/openbsm/ (props changed) projects/bhyve_svm/contrib/openpam/ (props changed) projects/bhyve_svm/contrib/sendmail/ (props changed) projects/bhyve_svm/contrib/tcpdump/ (props changed) projects/bhyve_svm/contrib/tzdata/ (props changed) projects/bhyve_svm/contrib/wpa/ (props changed) projects/bhyve_svm/contrib/wpa/hostapd/eap_testing.txt (props changed) projects/bhyve_svm/contrib/wpa/hostapd/hostapd.8 (props changed) projects/bhyve_svm/contrib/wpa/hostapd/hostapd_cli.1 (props changed) projects/bhyve_svm/contrib/wpa/hostapd/logwatch/hostapd.conf (props changed) projects/bhyve_svm/contrib/wpa/hostapd/wired.conf (props changed) projects/bhyve_svm/contrib/wpa/src/ap/vlan_init.h (props changed) projects/bhyve_svm/contrib/wpa/src/ap/wmm.h (props changed) projects/bhyve_svm/contrib/wpa/src/utils/radiotap.c (props changed) projects/bhyve_svm/contrib/wpa/src/wps/http.h (props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/dbus/dbus-wpa_supplicant.conf (props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-getall.py (props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new-wps.py (props changed) projects/bhyve_svm/contrib/wpa/wpa_supplicant/examples/wpas-dbus-new.py (props changed) projects/bhyve_svm/crypto/heimdal/ (props changed) projects/bhyve_svm/crypto/openssh/ (props changed) projects/bhyve_svm/gnu/lib/ (props changed) projects/bhyve_svm/gnu/usr.bin/gdb/ (props changed) projects/bhyve_svm/lib/libc/ (props changed) projects/bhyve_svm/lib/libutil/ (props changed) projects/bhyve_svm/lib/libvmmapi/ (props changed) projects/bhyve_svm/lib/libz/ (props changed) projects/bhyve_svm/sbin/ (props changed) projects/bhyve_svm/sbin/dumpon/ (props changed) projects/bhyve_svm/sbin/ipfw/ (props changed) projects/bhyve_svm/share/man/man4/ (props changed) projects/bhyve_svm/sys/ (props changed) projects/bhyve_svm/sys/amd64/include/xen/ (props changed) projects/bhyve_svm/sys/amd64/vmm/ (props changed) projects/bhyve_svm/sys/boot/ (props changed) projects/bhyve_svm/sys/cddl/contrib/opensolaris/ (props changed) projects/bhyve_svm/sys/conf/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/common/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/compiler/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/debugger/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/disassembler/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/dispatcher/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/events/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/executer/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/hardware/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/namespace/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/parser/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/resources/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/tables/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/components/utilities/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/include/ (props changed) projects/bhyve_svm/sys/contrib/dev/acpica/os_specific/ (props changed) projects/bhyve_svm/sys/contrib/ipfilter/ (props changed) projects/bhyve_svm/sys/contrib/octeon-sdk/ (props changed) projects/bhyve_svm/sys/xen/interface/ (props changed) projects/bhyve_svm/sys/xen/interface/COPYING (props changed) projects/bhyve_svm/sys/xen/interface/acm.h (props changed) projects/bhyve_svm/sys/xen/interface/acm_ops.h (props changed) projects/bhyve_svm/sys/xen/interface/arch-arm/hvm/ (props changed) projects/bhyve_svm/sys/xen/interface/arch-ia64/hvm/ (props changed) projects/bhyve_svm/sys/xen/interface/arch-powerpc.h (props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/ (props changed) projects/bhyve_svm/sys/xen/interface/arch-x86/hvm/ (props changed) projects/bhyve_svm/sys/xen/interface/callback.h (props changed) projects/bhyve_svm/sys/xen/interface/dom0_ops.h (props changed) projects/bhyve_svm/sys/xen/interface/elfstructs.h (props changed) projects/bhyve_svm/sys/xen/interface/foreign/ (props changed) projects/bhyve_svm/sys/xen/interface/foreign/Makefile (props changed) projects/bhyve_svm/sys/xen/interface/foreign/mkchecker.py (props changed) projects/bhyve_svm/sys/xen/interface/foreign/mkheader.py (props changed) projects/bhyve_svm/sys/xen/interface/foreign/reference.size (props changed) projects/bhyve_svm/sys/xen/interface/hvm/ (props changed) projects/bhyve_svm/sys/xen/interface/hvm/e820.h (props changed) projects/bhyve_svm/sys/xen/interface/io/ (props changed) projects/bhyve_svm/sys/xen/interface/io/console.h (props changed) projects/bhyve_svm/sys/xen/interface/io/fbif.h (props changed) projects/bhyve_svm/sys/xen/interface/io/kbdif.h (props changed) projects/bhyve_svm/sys/xen/interface/io/tpmif.h (props changed) projects/bhyve_svm/sys/xen/interface/io/xenbus.h (props changed) projects/bhyve_svm/sys/xen/interface/libelf.h (props changed) projects/bhyve_svm/sys/xen/interface/xencomm.h (props changed) projects/bhyve_svm/usr.bin/calendar/ (props changed) projects/bhyve_svm/usr.bin/csup/ (props changed) projects/bhyve_svm/usr.bin/procstat/ (props changed) projects/bhyve_svm/usr.sbin/bhyve/ (props changed) projects/bhyve_svm/usr.sbin/jail/ (props changed) projects/bhyve_svm/usr.sbin/ndiscvt/ (props changed) projects/bhyve_svm/usr.sbin/rtadvctl/ (props changed) projects/bhyve_svm/usr.sbin/rtadvd/ (props changed) projects/bhyve_svm/usr.sbin/rtsold/ (props changed) Modified: projects/bhyve_svm/MAINTAINERS ============================================================================== --- projects/bhyve_svm/MAINTAINERS Wed Aug 7 00:00:48 2013 (r254015) +++ projects/bhyve_svm/MAINTAINERS Wed Aug 7 00:09:49 2013 (r254016) @@ -28,6 +28,7 @@ MAC Framework rwatson Pre-commit review MAC Modules rwatson Pre-commit review requested. contrib/openbsm rwatson Pre-commit review requested. sys/security/audit rwatson Pre-commit review requested. +ath(4) adrian Pre-commit review requested, send to freebsd-wireless@freebsd.org ahc(4) gibbs Pre-commit review requested. ahd(4) gibbs Pre-commit review requested. PC Card imp Pre-commit review requested. @@ -63,8 +64,7 @@ procfs des Pre-commit review requested. linprocfs des Pre-commit review requested. lpr gad Pre-commit review requested, particularly for lpd/recvjob.c and lpd/printjob.c. -newsyslog(8) gad Heads-up appreciated. I'm going thru the PR's for it. -cvs peter Heads-up appreciated, try not to break it. +net80211 adrian Pre-commit review requested, send to freebsd-wireless@freebsd.org nvi peter Try not to break it. libz peter Try not to break it. groff ru Recommends pre-commit review. @@ -128,3 +128,6 @@ sysdoc trhodes Pre-commit review prefe sh(1) jilles Pre-commit review requested. This also applies to kill(1), printf(1) and test(1) which are compiled in as builtins. +nvme(4) jimharris Pre-commit review requested. +nvd(4) jimharris Pre-commit review requested. +nvmecontrol(8) jimharris Pre-commit review requested. Modified: projects/bhyve_svm/Makefile ============================================================================== --- projects/bhyve_svm/Makefile Wed Aug 7 00:00:48 2013 (r254015) +++ projects/bhyve_svm/Makefile Wed Aug 7 00:09:49 2013 (r254016) @@ -32,6 +32,12 @@ # targets - Print a list of supported TARGET/TARGET_ARCH pairs # for world and kernel targets. # toolchains - Build a toolchain for all world and kernel targets. +# +# "quick" way to test all kernel builds: +# _jflag=`sysctl -n hw.ncpu` +# _jflag=$(($_jflag * 2)) +# [ $_jflag -gt 12 ] && _jflag=12 +# make universe -DMAKE_JUST_KERNELS JFLAG=-j${_jflag} # # This makefile is simple by design. The FreeBSD make automatically reads # the /usr/share/mk/sys.mk unless the -m argument is specified on the @@ -65,8 +71,8 @@ # 5. `reboot' (in single user mode: boot -s from the loader prompt). # 6. `mergemaster -p' # 7. `make installworld' -# 8. `make delete-old' -# 9. `mergemaster' (you may wish to use -i, along with -U or -F). +# 8. `mergemaster' (you may wish to use -i, along with -U or -F). +# 9. `make delete-old' # 10. `reboot' # 11. `make delete-old-libs' (in case no 3rd party program uses them anymore) # @@ -124,11 +130,34 @@ _MAKEOBJDIRPREFIX!= /usr/bin/env -i PATH .error MAKEOBJDIRPREFIX can only be set in environment, not as a global\ (in make.conf(5)) or command-line variable. .endif -MAKEPATH= ${MAKEOBJDIRPREFIX}${.CURDIR}/make.${MACHINE} -BINMAKE= \ - `if [ -x ${MAKEPATH}/make ]; then echo ${MAKEPATH}/make; else echo ${MAKE}; fi` \ + +# We often need to use the tree's version of make to build it. +# Choices add to complexity though. +# We cannot blindly use a make which may not be the one we want +# so be exlicit - until all choice is removed. +.if !defined(WITHOUT_BMAKE) +WANT_MAKE= bmake +.else +WANT_MAKE= fmake +.endif +MYMAKE= ${MAKEOBJDIRPREFIX}${.CURDIR}/make.${MACHINE}/${WANT_MAKE} +.if defined(.PARSEDIR) +HAVE_MAKE= bmake +.else +HAVE_MAKE= fmake +.endif +.if exists(${MYMAKE}) +SUB_MAKE:= ${MYMAKE} -m ${.CURDIR}/share/mk +.elif ${WANT_MAKE} != ${HAVE_MAKE} || ${WANT_MAKE} != "bmake" +# It may not exist yet but we may cause it to. +# In the case of fmake, upgrade_checks may cause a newer version to be built. +SUB_MAKE= `test -x ${MYMAKE} && echo ${MYMAKE} || echo ${MAKE}` \ -m ${.CURDIR}/share/mk -_MAKE= PATH=${PATH} ${BINMAKE} -f Makefile.inc1 TARGET=${_TARGET} TARGET_ARCH=${_TARGET_ARCH} +.else +SUB_MAKE= ${MAKE} -m ${.CURDIR}/share/mk +.endif + +_MAKE= PATH=${PATH} ${SUB_MAKE} -f Makefile.inc1 TARGET=${_TARGET} TARGET_ARCH=${_TARGET_ARCH} # Guess machine architecture from machine type, and vice versa. .if !defined(TARGET_ARCH) && defined(TARGET) @@ -209,6 +238,12 @@ cleanworld: # Handle the user-driven targets, using the source relative mk files. # +.if empty(.MAKEFLAGS:M-n) +# skip this for -n to avoid changing previous behavior of +# 'make -n buildworld' etc. +${TGTS}: .MAKE +.endif + ${TGTS}: ${_+_}@cd ${.CURDIR}; ${_MAKE} ${.TARGET} @@ -279,8 +314,13 @@ kernel: buildkernel installkernel # Perform a few tests to determine if the installed tools are adequate # for building the world. # +# Note: if we ever need to care about the version of bmake, simply testing +# MAKE_VERSION against a required version should suffice. +# upgrade_checks: -.if !defined(.PARSEDIR) +.if ${HAVE_MAKE} != ${WANT_MAKE} + @(cd ${.CURDIR} && ${MAKE} ${WANT_MAKE:S,^f,,}) +.elif ${WANT_MAKE} == "fmake" @if ! (cd ${.CURDIR}/tools/build/make_check && \ PATH=${PATH} ${BINMAKE} obj >/dev/null 2>&1 && \ PATH=${PATH} ${BINMAKE} >/dev/null 2>&1); \ @@ -294,7 +334,7 @@ upgrade_checks: # headers, libraries and tools. Also, allow the location of # the system bsdmake-like utility to be overridden. # -MMAKEENV= MAKEOBJDIRPREFIX=${MAKEPATH} \ +MMAKEENV= MAKEOBJDIRPREFIX=${MYMAKE:H} \ DESTDIR= \ INSTALL="sh ${.CURDIR}/tools/install.sh" MMAKE= ${MMAKEENV} ${MAKE} \ @@ -302,16 +342,16 @@ MMAKE= ${MMAKEENV} ${MAKE} \ -DNOMAN -DNO_MAN -DNOSHARED -DNO_SHARED \ -DNO_CPU_CFLAGS -DNO_WERROR -make: .PHONY +make bmake: .PHONY @echo @echo "--------------------------------------------------------------" @echo ">>> Building an up-to-date make(1)" @echo "--------------------------------------------------------------" - ${_+_}@cd ${.CURDIR}/usr.bin/make; \ + ${_+_}@cd ${.CURDIR}/usr.bin/${.TARGET}; \ ${MMAKE} obj && \ ${MMAKE} depend && \ ${MMAKE} all && \ - ${MMAKE} install DESTDIR=${MAKEPATH} BINDIR= + ${MMAKE} install DESTDIR=${MYMAKE:H} BINDIR= PROGNAME=${MYMAKE:T} tinderbox: @cd ${.CURDIR} && ${MAKE} DOING_TINDERBOX=YES universe @@ -361,6 +401,7 @@ MAKEFAIL=tee -a ${FAILFILE} MAKEFAIL=cat .endif +universe_prologue: upgrade_checks universe: universe_prologue universe_prologue: @echo "--------------------------------------------------------------" @@ -371,9 +412,9 @@ universe_prologue: .endif .for target in ${TARGETS} universe: universe_${target} -.ORDER: universe_prologue universe_${target} universe_epilogue +universe_epilogue: universe_${target} universe_${target}: universe_${target}_prologue -universe_${target}_prologue: +universe_${target}_prologue: universe_prologue @echo ">> ${target} started on `LC_ALL=C date`" .if !defined(MAKE_JUST_KERNELS) .for target_arch in ${TARGET_ARCHES_${target}} @@ -381,7 +422,7 @@ universe_${target}: universe_${target}_$ universe_${target}_${target_arch}: universe_${target}_prologue @echo ">> ${target}.${target_arch} ${UNIVERSE_TARGET} started on `LC_ALL=C date`" @(cd ${.CURDIR} && env __MAKE_CONF=/dev/null \ - ${MAKE} ${JFLAG} ${UNIVERSE_TARGET} \ + ${SUB_MAKE} ${JFLAG} ${UNIVERSE_TARGET} \ TARGET=${target} \ TARGET_ARCH=${target_arch} \ > _.${target}.${target_arch}.${UNIVERSE_TARGET} 2>&1 || \ @@ -402,11 +443,11 @@ universe_${target}: universe_${target}_k universe_${target}_kernels: universe_${target}_prologue .if exists(${KERNSRCDIR}/${target}/conf/NOTES) @(cd ${KERNSRCDIR}/${target}/conf && env __MAKE_CONF=/dev/null \ - ${MAKE} LINT > ${.CURDIR}/_.${target}.makeLINT 2>&1 || \ + ${SUB_MAKE} LINT > ${.CURDIR}/_.${target}.makeLINT 2>&1 || \ (echo "${target} 'make LINT' failed," \ "check _.${target}.makeLINT for details"| ${MAKEFAIL})) .endif - @cd ${.CURDIR} && ${MAKE} ${.MAKEFLAGS} TARGET=${target} \ + @cd ${.CURDIR} && ${SUB_MAKE} ${.MAKEFLAGS} TARGET=${target} \ universe_kernels .endif @echo ">> ${target} completed on `LC_ALL=C date`" @@ -429,7 +470,7 @@ TARGET_ARCH_${kernel}!= cd ${KERNSRCDIR} universe_kernconfs: universe_kernconf_${TARGET}_${kernel} universe_kernconf_${TARGET}_${kernel}: @(cd ${.CURDIR} && env __MAKE_CONF=/dev/null \ - ${MAKE} ${JFLAG} buildkernel \ + ${SUB_MAKE} ${JFLAG} buildkernel \ TARGET=${TARGET} \ TARGET_ARCH=${TARGET_ARCH_${kernel}} \ KERNCONF=${kernel} \ Modified: projects/bhyve_svm/Makefile.inc1 ============================================================================== --- projects/bhyve_svm/Makefile.inc1 Wed Aug 7 00:00:48 2013 (r254015) +++ projects/bhyve_svm/Makefile.inc1 Wed Aug 7 00:09:49 2013 (r254016) @@ -35,19 +35,12 @@ # buildworld - rebuild *everything*, including glue to help do upgrades # installworld- install everything built by "buildworld" # doxygen - build API documentation of the kernel -# update - convenient way to update your source tree (eg: cvsup/cvs) +# update - convenient way to update your source tree (eg: svn/svnup) # # Standard targets (not defined here) are documented in the makefiles in # /usr/share/mk. These include: # obj depend all install clean cleandepend cleanobj -# You are supposed to define both of these when calling Makefile.inc1 -# directly. However, some old scripts don't. Cope for the moment, but -# issue a new warning for a transition period. -.if defined(TARGET) && !defined(TARGET_ARCH) -.warning "You must pass both TARGET and TARGET_ARCH to Makefile.inc1. Setting TARGET_ARCH=${TARGET}." -TARGET_ARCH=${TARGET} -.endif .if !defined(TARGET) || !defined(TARGET_ARCH) .error "Both TARGET and TARGET_ARCH must be defined." .endif @@ -65,6 +58,9 @@ TARGET_ARCH=${TARGET} # use that new version. And the new (dynamically-linked) /bin/sh # will expect to find appropriate libraries in /lib and /libexec. # +.if defined(SUBDIR_OVERRIDE) +SUBDIR= ${SUBDIR_OVERRIDE} +.else SUBDIR= share/info lib libexec SUBDIR+=bin .if ${MK_GAMES} != "no" @@ -103,9 +99,6 @@ SUBDIR+=etc SUBDIR+= ${_DIR} .endif .endfor - -.if defined(SUBDIR_OVERRIDE) -SUBDIR= ${SUBDIR_OVERRIDE} .endif .if defined(NOCLEAN) @@ -121,15 +114,8 @@ LOCAL_TOOL_DIRS?= BUILDENV_SHELL?=/bin/sh -CVS?= cvs -CVSFLAGS?= -A -P -d -I! -SVN?= svn +SVN?= /usr/local/bin/svn SVNFLAGS?= -r HEAD -SUP?= /usr/bin/csup -SUPFLAGS?= -g -L 2 -.if defined(SUPHOST) -SUPFLAGS+= -h ${SUPHOST} -.endif MAKEOBJDIRPREFIX?= /usr/obj .if !defined(OSRELDATE) @@ -277,18 +263,77 @@ WMAKEENV= ${CROSSENV} \ VERSION="${VERSION}" \ INSTALL="sh ${.CURDIR}/tools/install.sh" \ PATH=${TMPPATH} + +# make hierarchy +HMAKE= PATH=${TMPPATH} ${MAKE} LOCAL_MTREE=${LOCAL_MTREE} +.if defined(NO_ROOT) +HMAKE+= PATH=${TMPPATH} METALOG=${METALOG} -DNO_ROOT +.endif + .if ${MK_CDDL} == "no" WMAKEENV+= NO_CTF=1 .endif -.if ${CC:T:Mgcc} == "gcc" + +.if defined(CROSS_TOOLCHAIN_PREFIX) +CROSS_COMPILER_PREFIX?=${CROSS_TOOLCHAIN_PREFIX} +CROSS_BINUTILS_PREFIX?=${CROSS_TOOLCHAIN_PREFIX} +.endif +XCOMPILERS= CC CXX CPP +.for COMPILER in ${XCOMPILERS} +.if defined(CROSS_COMPILER_PREFIX) +X${COMPILER}?= ${CROSS_COMPILER_PREFIX}${${COMPILER}} +.else +X${COMPILER}?= ${${COMPILER}} +.endif +.endfor +XBINUTILS= AS AR LD NM OBJDUMP RANLIB STRINGS +.for BINUTIL in ${XBINUTILS} +.if defined(CROSS_BINUTILS_PREFIX) +X${BINUTIL}?= ${CROSS_BINUTILS_PREFIX}${${BINUTIL}} +.else +X${BINUTIL}?= ${${BINUTIL}} +.endif +.endfor +WMAKEENV+= CC="${XCC} ${XFLAGS}" CXX="${XCXX} ${XFLAGS}" \ + CPP="${XCPP} ${XFLAGS}" \ + AS="${XAS}" AR="${XAR}" LD="${XLD}" NM=${XNM} \ + OBJDUMP=${XOBJDUMP} RANLIB=${XRANLIB} STRINGS=${XSTRINGS} + +.if ${XCC:T:Mgcc} == "gcc" WMAKE_COMPILER_TYPE= gcc -.elif ${CC:T:Mclang} == "clang" +.elif ${XCC:T:Mclang} == "clang" WMAKE_COMPILER_TYPE= clang .elif ${MK_CLANG_IS_CC} == "no" WMAKE_COMPILER_TYPE= gcc .else WMAKE_COMPILER_TYPE= clang .endif +IMAKE_COMPILER_TYPE= COMPILER_TYPE=${WMAKE_COMPILER_TYPE} + +.if ${XCC:M/*} +XFLAGS= --sysroot=${WORLDTMP} +.if defined(CROSS_BINUTILS_PREFIX) +# In the case of xdev-build tools, CROSS_BINUTILS_PREFIX won't be a +# directory, but the compiler will look in the right place for it's +# tools so we don't need to tell it where to look. +.if exists(${CROSS_BINUTILS_PREFIX}) +XFLAGS+= -B${CROSS_BINUTILS_PREFIX} +.endif +.else +XFLAGS+= -B${WORLDTMP}/usr/bin +.endif +.if ${TARGET_ARCH} != ${MACHINE_ARCH} && ${WMAKE_COMPILER_TYPE} == "clang" +.if (${TARGET_ARCH} == "arm" || ${TARGET_ARCH} == "armv6") && \ +${MK_ARM_EABI} != "no" +TARGET_ABI= gnueabi +.else +TARGET_ABI= unknown +.endif +TARGET_TRIPLE?= ${TARGET_ARCH:C/amd64/x86_64/}-${TARGET_ABI}-freebsd10.0 +XFLAGS+= -target ${TARGET_TRIPLE} +.endif +.endif + WMAKEENV+= COMPILER_TYPE=${WMAKE_COMPILER_TYPE} WMAKE= ${WMAKEENV} ${MAKE} ${WORLD_FLAGS} -f Makefile.inc1 DESTDIR=${WORLDTMP} @@ -324,6 +369,9 @@ LIB32FLAGS= -m32 ${LIB32CPUFLAGS} -DCOMP -isystem ${LIB32TMP}/usr/include/ \ -L${LIB32TMP}/usr/lib32 \ -B${LIB32TMP}/usr/lib32 +.if ${XCC:M/*} +LIB32FLAGS+= --sysroot=${WORLDTMP} +.endif # Yes, the flags are redundant. LIB32WMAKEENV+= MAKEOBJDIRPREFIX=${OBJTREE}/lib32 \ @@ -336,8 +384,8 @@ LIB32WMAKEENV+= MAKEOBJDIRPREFIX=${OBJTR SHLIBDIR=/usr/lib32 \ COMPILER_TYPE=${WMAKE_COMPILER_TYPE} LIB32WMAKEFLAGS+= \ - CC="${CC} ${LIB32FLAGS}" \ - CXX="${CXX} ${LIB32FLAGS}" \ + CC="${XCC} ${LIB32FLAGS}" \ + CXX="${XCXX} ${LIB32FLAGS}" \ DESTDIR=${LIB32TMP} \ -DCOMPAT_32BIT \ -DLIBRARIES_ONLY \ @@ -353,7 +401,7 @@ LIB32IMAKE= ${LIB32WMAKE:NINSTALL=*:NDES IMAKEENV= ${CROSSENV:N_LDSCRIPTROOT=*} IMAKE= ${IMAKEENV} ${MAKE} -f Makefile.inc1 \ - ${IMAKE_INSTALL} ${IMAKE_MTREE} + ${IMAKE_INSTALL} ${IMAKE_MTREE} ${IMAKE_COMPILER_TYPE} .if empty(.MAKEFLAGS:M-n) IMAKEENV+= PATH=${STRICTTMPPATH}:${INSTALLTMP} \ LD_LIBRARY_PATH=${INSTALLTMP} \ @@ -366,11 +414,12 @@ IMAKEENV+= PATH=${TMPPATH}:${INSTALLTMP} INSTALLFLAGS+= -N ${.CURDIR}/etc MTREEFLAGS+= -N ${.CURDIR}/etc .endif +_INSTALL_DDIR= ${DESTDIR}/${DISTDIR} +INSTALL_DDIR= ${_INSTALL_DDIR:S://:/:g:C:/$::} .if defined(NO_ROOT) METALOG?= ${DESTDIR}/${DISTDIR}/METALOG IMAKE+= -DNO_ROOT METALOG=${METALOG} -INSTALL_DDIR= ${DESTDIR}/${DISTDIR} -INSTALLFLAGS+= -U -M ${METALOG} -D ${INSTALL_DDIR:S://:/:g:C:/$::} +INSTALLFLAGS+= -U -M ${METALOG} -D ${INSTALL_DDIR} MTREEFLAGS+= -W .endif .if defined(DB_FROM_SRC) || defined(NO_ROOT) @@ -380,7 +429,7 @@ IMAKE_MTREE= MTREE_CMD="nmtree ${MTREEFL # kernel stage KMAKEENV= ${WMAKEENV} -KMAKE= ${KMAKEENV} ${MAKE} ${KERNEL_FLAGS} KERNEL=${INSTKERNNAME} +KMAKE= ${KMAKEENV} ${MAKE} ${.MAKEFLAGS} ${KERNEL_FLAGS} KERNEL=${INSTKERNNAME} # # buildworld @@ -428,6 +477,13 @@ _worldtmp: mtree -deU -f ${.CURDIR}/etc/mtree/BSD.include.dist \ -p ${WORLDTMP}/usr/include >/dev/null ln -sf ${.CURDIR}/sys ${WORLDTMP} +.if ${MK_DEBUG_FILES} != "no" + # We could instead disable debug files for these build stages + mtree -deU -f ${.CURDIR}/etc/mtree/BSD.debug.dist \ + -p ${WORLDTMP}/legacy/usr/lib >/dev/null + mtree -deU -f ${.CURDIR}/etc/mtree/BSD.debug.dist \ + -p ${WORLDTMP}/usr/lib >/dev/null +.endif .if ${MK_BIND_LIBS} != "no" mtree -deU -f ${.CURDIR}/etc/mtree/BIND.include.dist \ -p ${WORLDTMP}/usr/include >/dev/null @@ -513,6 +569,10 @@ build32: -p ${LIB32TMP}/usr >/dev/null mtree -deU -f ${.CURDIR}/etc/mtree/BSD.include.dist \ -p ${LIB32TMP}/usr/include >/dev/null +.if ${MK_DEBUG_FILES} != "no" + mtree -deU -f ${.CURDIR}/etc/mtree/BSD.debug.dist \ + -p ${LIB32TMP}/usr/lib >/dev/null +.endif mkdir -p ${WORLDTMP} ln -sf ${.CURDIR}/sys ${WORLDTMP} .for _t in obj includes @@ -616,6 +676,7 @@ kernel-toolchain: ${TOOLCHAIN_TGTS:N_inc # Checks to be sure system is ready for installworld/installkernel. # installcheck: +installcheck_UGID: # # Require DESTDIR to be set if installing for a different architecture or @@ -647,7 +708,6 @@ CHECK_GIDS+= smmsp CHECK_UIDS+= proxy CHECK_GIDS+= proxy authpf .endif -installcheck: installcheck_UGID installcheck_UGID: .for uid in ${CHECK_UIDS} @if ! `id -u ${uid} >/dev/null 2>&1`; then \ @@ -703,7 +763,7 @@ EXTRA_DISTRIBUTIONS+= lib32 MTREE_MAGIC?= mtree 2.0 -distributeworld installworld: installcheck +distributeworld installworld: installcheck installcheck_UGID mkdir -p ${INSTALLTMP} progs=$$(for prog in ${ITOOLS}; do \ if progpath=`which $$prog`; then \ @@ -737,6 +797,10 @@ distributeworld installworld: installche -p ${DESTDIR}/${DISTDIR}/${dist}/usr >/dev/null mtree -deU -f ${.CURDIR}/etc/mtree/BSD.include.dist \ -p ${DESTDIR}/${DISTDIR}/${dist}/usr/include >/dev/null +.if ${MK_DEBUG_FILES} != "no" + mtree -deU -f ${.CURDIR}/etc/mtree/BSD.debug.dist \ + -p ${DESTDIR}/${DISTDIR}/${dist}/usr/lib >/dev/null +.endif .if defined(NO_ROOT) ${IMAKEENV} nmtree -C -f ${.CURDIR}/etc/mtree/BSD.root.dist | \ sed -e 's#^\./#./${dist}/#' >> ${METALOG} @@ -756,7 +820,7 @@ distributeworld installworld: installche ${IMAKEENV} rm -rf ${INSTALLTMP} .if make(distributeworld) .for dist in ${EXTRA_DISTRIBUTIONS} - find ${DESTDIR}/${DISTDIR}/${dist} -empty -delete + find ${DESTDIR}/${DISTDIR}/${dist} -mindepth 1 -empty -delete .endfor .if defined(NO_ROOT) .for dist in base ${EXTRA_DISTRIBUTIONS} @@ -769,6 +833,18 @@ distributeworld installworld: installche awk 'BEGIN { print "#${MTREE_MAGIC}" } !/ type=/ { file = $$1 } / type=/ { if ($$1 == file) { sub(/^\.\/${dist}\//, "./"); print } }' > \ ${DESTDIR}/${DISTDIR}/${dist}.meta .endfor +.if ${MK_DEBUG_FILES} != "no" +. for dist in base ${EXTRA_DISTRIBUTIONS} + @# For each file that exists in this dist, print the corresponding + @# line from the METALOG. This relies on the fact that + @# a line containing only the filename will sort immediatly before + @# the relevant mtree line. + cd ${DESTDIR}/${DISTDIR}; \ + find ./${dist}/usr/lib/debug | sort -u ${METALOG} - | \ + awk 'BEGIN { print "#${MTREE_MAGIC}" } !/ type=/ { file = $$1 } / type=/ { if ($$1 == file) { sub(/^\.\/${dist}\//, "./"); print } }' > \ + ${DESTDIR}/${DISTDIR}/${dist}.debug.meta +. endfor +.endif .endif .endif @@ -777,13 +853,29 @@ packageworld: .if defined(NO_ROOT) ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz \ + --exclude usr/lib/debug \ @${DESTDIR}/${DISTDIR}/${dist}.meta .else ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ - tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz . + tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.txz \ + --exclude usr/lib/debug . .endif .endfor +.if ${MK_DEBUG_FILES} != "no" +. for dist in base ${EXTRA_DISTRIBUTIONS} +. if defined(NO_ROOT) + ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ + tar cvJf ${DESTDIR}/${DISTDIR}/${dist}.debug.txz \ + @${DESTDIR}/${DISTDIR}/${dist}.debug.meta +. else + ${_+_}cd ${DESTDIR}/${DISTDIR}/${dist}; \ + tar cvJfL ${DESTDIR}/${DISTDIR}/${dist}.debug.txz \ + usr/lib/debug +. endif +. endfor +.endif + # # reinstall # @@ -844,16 +936,11 @@ NO_KERNELOBJ= t KERNCONF=${KERNFAST} .endif .endif -.if !defined(KERNCONF) && defined(KERNEL) -KERNCONF= ${KERNEL} -KERNWARN= -.else .if ${TARGET_ARCH} == "powerpc64" KERNCONF?= GENERIC64 .else KERNCONF?= GENERIC .endif -.endif INSTKERNNAME?= kernel KERNSRCDIR?= ${.CURDIR}/sys @@ -882,12 +969,6 @@ buildkernel: @echo "ERROR: Missing kernel configuration file(s) (${KERNCONF})."; \ false .endif -.if defined(KERNWARN) - @echo "--------------------------------------------------------------" - @echo ">>> WARNING: KERNEL= setting should be changed to KERNCONF=" - @echo "--------------------------------------------------------------" - @sleep 3 -.endif @echo .for _kernel in ${BUILDKERNELS} @echo "--------------------------------------------------------------" @@ -977,25 +1058,52 @@ distributekernel distributekernel.debug: @echo "ERROR: No kernel \"${KERNCONF}\" to install."; \ false .endif + mkdir -p ${DESTDIR}/${DISTDIR} +.if defined(NO_ROOT) + echo "#${MTREE_MAGIC}" > ${DESTDIR}/${DISTDIR}/kernel.premeta +.endif cd ${KRNLOBJDIR}/${INSTALLKERNEL}; \ - ${CROSSENV} PATH=${TMPPATH} ${MAKE} KERNEL=${INSTKERNNAME} \ - DESTDIR=${DESTDIR}/${DISTDIR}/kernel \ + ${IMAKEENV} ${IMAKE_INSTALL:S/METALOG/kernel.premeta/} \ + ${IMAKE_MTREE} PATH=${TMPPATH} ${MAKE} KERNEL=${INSTKERNNAME} \ + DESTDIR=${INSTALL_DDIR}/kernel \ ${.TARGET:S/distributekernel/install/} +.if defined(NO_ROOT) + sed -e 's|^./kernel|.|' ${DESTDIR}/${DISTDIR}/kernel.premeta > \ + ${DESTDIR}/${DISTDIR}/kernel.meta +.endif .for _kernel in ${BUILDKERNELS:S/${INSTALLKERNEL}//} +.if defined(NO_ROOT) + echo "#${MTREE_MAGIC}" > ${DESTDIR}/${DISTDIR}/kernel.${_kernel}.premeta +.endif cd ${KRNLOBJDIR}/${_kernel}; \ - ${CROSSENV} PATH=${TMPPATH} ${MAKE} \ + ${IMAKEENV} ${IMAKE_INSTALL:S/METALOG/kernel.${_kernel}.premeta/} \ + ${IMAKE_MTREE} PATH=${TMPPATH} ${MAKE} \ KERNEL=${INSTKERNNAME}.${_kernel} \ - DESTDIR=${DESTDIR}/${DISTDIR}/kernel.${_kernel} \ + DESTDIR=${INSTALL_DDIR}/kernel.${_kernel} \ ${.TARGET:S/distributekernel/install/} + sed -e 's|^./kernel|.|' \ + ${DESTDIR}/${DISTDIR}/kernel.${_kernel}.premeta > \ + ${DESTDIR}/${DISTDIR}/kernel.${_kernel}.meta .endfor packagekernel: +.if defined(NO_ROOT) + cd ${DESTDIR}/${DISTDIR}/kernel; \ + tar cvJf ${DESTDIR}/${DISTDIR}/kernel.txz \ + @${DESTDIR}/${DISTDIR}/kernel.meta +.for _kernel in ${BUILDKERNELS:S/${INSTALLKERNEL}//} + cd ${DESTDIR}/${DISTDIR}/kernel.${_kernel}; \ + tar cvJf ${DESTDIR}/${DISTDIR}/kernel.${_kernel}.txz \ + @${DESTDIR}/${DISTDIR}/kernel.${_kernel}.meta +.endfor +.else cd ${DESTDIR}/${DISTDIR}/kernel; \ tar cvJf ${DESTDIR}/${DISTDIR}/kernel.txz . .for _kernel in ${BUILDKERNELS:S/${INSTALLKERNEL}//} cd ${DESTDIR}/${DISTDIR}/kernel.${_kernel}; \ tar cvJf ${DESTDIR}/${DISTDIR}/kernel.${_kernel}.txz . .endfor +.endif # # doxygen @@ -1012,63 +1120,22 @@ doxygen: # # update # -# Update the source tree(s), by running cvsup/cvs/svn to update to the +# Update the source tree(s), by running svn/svnup to update to the # latest copy. # update: -.if defined(SUP_UPDATE) +.if (defined(CVS_UPDATE) || defined(SUP_UPDATE)) && !defined(SVN_UPDATE) @echo "--------------------------------------------------------------" - @echo ">>> Running ${SUP}" + @echo "CVS_UPDATE and SUP_UPDATE are no longer supported." + @echo "Please see: https://wiki.freebsd.org/CvsIsDeprecated" @echo "--------------------------------------------------------------" - @echo "!! WARNING WARNING WARNING WARNING WARNING WARNING WARNING !!" - @echo "!! Update methods with ${SUP} are deprecated." - @echo "!! Please see http://www.freebsd.org/handbook/svn.html" - @echo "!! and convert your update method to SVN_UPDATE or" - @echo "!! freebsd-update(8)." - @echo "!! WARNING WARNING WARNING WARNING WARNING WARNING WARNING !!" - @sleep 5 -.if defined(SUPFILE) - @${SUP} ${SUPFLAGS} ${SUPFILE} -.endif -.if defined(SUPFILE1) - @${SUP} ${SUPFLAGS} ${SUPFILE1} -.endif -.if defined(SUPFILE2) - @${SUP} ${SUPFLAGS} ${SUPFILE2} -.endif -.if defined(PORTSSUPFILE) && !defined(NO_PORTSUPDATE) - @${SUP} ${SUPFLAGS} ${PORTSSUPFILE} -.endif -.if defined(DOCSUPFILE) && !defined(NO_DOCUPDATE) - @${SUP} ${SUPFLAGS} ${DOCSUPFILE} -.endif -.endif -.if defined(CVS_UPDATE) - @cd ${.CURDIR} ; \ - if [ -d CVS ] ; then \ - echo "--------------------------------------------------------------" ; \ - echo ">>> Updating ${.CURDIR} from CVS repository" ${CVSROOT} ; \ - echo "--------------------------------------------------------------" ; \ - echo "!! WARNING WARNING WARNING WARNING WARNING WARNING WARNING !!" ; \ - echo "!! Update methods with CVS are deprecated." ; \ - echo "!! Please see http://www.freebsd.org/handbook/svn.html" ; \ - echo "!! and convert your update method to SVN_UPDATE or" ; \ - echo "!! freebsd-update(8)." ; \ - echo "!! WARNING WARNING WARNING WARNING WARNING WARNING WARNING !!" ; \ - sleep 5 ; \ - echo ${CVS} -R -q update ${CVSFLAGS} ; \ - ${CVS} -R -q update ${CVSFLAGS} ; \ - fi + @exit 1 .endif .if defined(SVN_UPDATE) - @cd ${.CURDIR} ; \ - if [ -d .svn ] ; then \ - echo "--------------------------------------------------------------" ; \ - echo ">>> Updating ${.CURDIR} using Subversion" ; \ - echo "--------------------------------------------------------------" ; \ - echo ${SVN} update ${SVNFLAGS} ; \ - ${SVN} update ${SVNFLAGS} ; \ - fi + @echo "--------------------------------------------------------------" + @echo ">>> Updating ${.CURDIR} using Subversion" + @echo "--------------------------------------------------------------" + @(cd ${.CURDIR} && ${SVN} update ${SVNFLAGS}) .endif # @@ -1092,11 +1159,11 @@ legacy: .endif .for _tool in tools/build ${_+_}@${ECHODIR} "===> ${_tool} (obj,includes,depend,all,install)"; \ - cd ${.CURDIR}/${_tool}; \ - ${MAKE} DIRPRFX=${_tool}/ obj; \ - ${MAKE} DIRPRFX=${_tool}/ DESTDIR=${MAKEOBJDIRPREFIX}/legacy includes; \ - ${MAKE} DIRPRFX=${_tool}/ depend; \ - ${MAKE} DIRPRFX=${_tool}/ all; \ + cd ${.CURDIR}/${_tool} && \ + ${MAKE} DIRPRFX=${_tool}/ obj && \ + ${MAKE} DIRPRFX=${_tool}/ DESTDIR=${MAKEOBJDIRPREFIX}/legacy includes && \ + ${MAKE} DIRPRFX=${_tool}/ depend && \ + ${MAKE} DIRPRFX=${_tool}/ all && \ ${MAKE} DIRPRFX=${_tool}/ DESTDIR=${MAKEOBJDIRPREFIX}/legacy install .endfor @@ -1131,11 +1198,19 @@ _sed= usr.bin/sed _lex= usr.bin/lex .endif +.if ${BOOTSTRAPPING} < 1000002 +_m4= usr.bin/m4 +.endif + .if ${BOOTSTRAPPING} < 1000013 _yacc= lib/liby \ usr.bin/yacc .endif +.if ${BOOTSTRAPPING} < 1000014 +_crunch= usr.sbin/crunch +.endif + .if ${BOOTSTRAPPING} < 1000026 _nmtree= lib/libnetbsd \ usr.sbin/nmtree @@ -1163,8 +1238,8 @@ _clang_tblgen= \ # dtrace tools are required for older bootstrap env and cross-build .if ${MK_CDDL} != "no" && \ - ((${BOOTSTRAPPING} < 800038 && \ - !(${BOOTSTRAPPING} >= 700112 && ${BOOTSTRAPPING} < 799999)) \ + ((${BOOTSTRAPPING} < 1000034 && \ + !(${BOOTSTRAPPING} >= 901505 && ${BOOTSTRAPPING} < 999999)) \ || (${MACHINE} != ${TARGET} || ${MACHINE_ARCH} != ${TARGET_ARCH})) _dtrace_tools= cddl/usr.bin/sgsmsg cddl/lib/libctf lib/libelf \ lib/libdwarf cddl/usr.bin/ctfconvert cddl/usr.bin/ctfmerge @@ -1183,13 +1258,14 @@ _kerberos5_bootstrap_tools= \ kerberos5/lib/libroken \ kerberos5/lib/libvers \ kerberos5/tools/asn1_compile \ - kerberos5/tools/slc + kerberos5/tools/slc \ + usr.bin/compile_et .endif # Please document (add comment) why something is in 'bootstrap-tools'. # Try to bound the building of the bootstrap-tool to just the # FreeBSD versions that need the tool built at this stage of the build. -bootstrap-tools: +bootstrap-tools: .MAKE .for _tool in \ ${_clang_tblgen} \ ${_kerberos5_bootstrap_tools} \ @@ -1207,17 +1283,19 @@ bootstrap-tools: usr.bin/rpcgen \ ${_sed} \ ${_yacc} \ + ${_m4} \ ${_lex} \ lib/libmd \ usr.bin/xinstall \ ${_gensnmptree} \ usr.sbin/config \ + ${_crunch} \ ${_nmtree} ${_+_}@${ECHODIR} "===> ${_tool} (obj,depend,all,install)"; \ - cd ${.CURDIR}/${_tool}; \ - ${MAKE} DIRPRFX=${_tool}/ obj; \ - ${MAKE} DIRPRFX=${_tool}/ depend; \ - ${MAKE} DIRPRFX=${_tool}/ all; \ + cd ${.CURDIR}/${_tool} && \ + ${MAKE} DIRPRFX=${_tool}/ obj && \ + ${MAKE} DIRPRFX=${_tool}/ depend && \ + ${MAKE} DIRPRFX=${_tool}/ all && \ ${MAKE} DIRPRFX=${_tool}/ DESTDIR=${MAKEOBJDIRPREFIX}/legacy install .endfor @@ -1240,7 +1318,7 @@ _gcc_tools= gnu/usr.bin/cc/cc_tools _rescue= rescue/rescue .endif -build-tools: +build-tools: .MAKE .for _tool in \ bin/csh \ bin/sh \ @@ -1255,16 +1333,16 @@ build-tools: usr.bin/mkesdb_static \ usr.bin/mkcsmapper_static ${_+_}@${ECHODIR} "===> ${_tool} (obj,build-tools)"; \ - cd ${.CURDIR}/${_tool}; \ - ${MAKE} DIRPRFX=${_tool}/ obj; \ + cd ${.CURDIR}/${_tool} && \ + ${MAKE} DIRPRFX=${_tool}/ obj && \ ${MAKE} DIRPRFX=${_tool}/ build-tools .endfor .for _tool in \ ${_gcc_tools} ${_+_}@${ECHODIR} "===> ${_tool} (obj,depend,all)"; \ - cd ${.CURDIR}/${_tool}; \ - ${MAKE} DIRPRFX=${_tool}/ obj; \ - ${MAKE} DIRPRFX=${_tool}/ depend; \ + cd ${.CURDIR}/${_tool} && \ + ${MAKE} DIRPRFX=${_tool}/ obj && \ + ${MAKE} DIRPRFX=${_tool}/ depend && \ ${MAKE} DIRPRFX=${_tool}/ all .endfor @@ -1285,10 +1363,13 @@ _kgzip= usr.sbin/kgzip .endif .endif -.if ${MK_BINUTILS} != "no" +.if ${XAS:M/*} == "" && ${MK_BINUTILS} != "no" _binutils= gnu/usr.bin/binutils .endif +# If an full path to an external cross compiler is given, don't build +# a cross compiler. +.if ${XCC:M/*} == "" && ${MK_CROSS_COMPILER} != "no" .if ${MK_CLANG} != "no" && (${MK_CLANG_IS_CC} != "no" || ${CC:T:Mclang} == "clang") _clang= usr.bin/clang _clang_libs= lib/clang @@ -1297,8 +1378,9 @@ _clang_libs= lib/clang .if ${MK_GCC} != "no" && (${MK_CLANG_IS_CC} == "no" || ${TARGET} == "pc98") _cc= gnu/usr.bin/cc .endif +.endif -cross-tools: +cross-tools: .MAKE .for _tool in \ ${_clang_libs} \ ${_clang} \ @@ -1309,10 +1391,10 @@ cross-tools: ${_crunchide} \ ${_kgzip} ${_+_}@${ECHODIR} "===> ${_tool} (obj,depend,all,install)"; \ - cd ${.CURDIR}/${_tool}; \ - ${MAKE} DIRPRFX=${_tool}/ obj; \ - ${MAKE} DIRPRFX=${_tool}/ depend; \ - ${MAKE} DIRPRFX=${_tool}/ all; \ + cd ${.CURDIR}/${_tool} && \ + ${MAKE} DIRPRFX=${_tool}/ obj && \ + ${MAKE} DIRPRFX=${_tool}/ depend && \ + ${MAKE} DIRPRFX=${_tool}/ all && \ ${MAKE} DIRPRFX=${_tool}/ DESTDIR=${MAKEOBJDIRPREFIX} install .endfor @@ -1320,12 +1402,7 @@ cross-tools: # hierarchy - ensure that all the needed directories are present # hierarchy hier: -.if defined(NO_ROOT) - cd ${.CURDIR}/etc; ${MAKE} LOCAL_MTREE=${LOCAL_MTREE} \ - -DNO_ROOT METALOG=${METALOG} distrib-dirs -.else - cd ${.CURDIR}/etc; ${MAKE} LOCAL_MTREE=${LOCAL_MTREE} distrib-dirs -.endif + cd ${.CURDIR}/etc && ${HMAKE} distrib-dirs # # libraries - build all libraries, and install them under ${DESTDIR}. @@ -1334,12 +1411,12 @@ hierarchy hier: # interdependencies (__L) are built automatically by the # ${.CURDIR}/tools/make_libdeps.sh script. # -libraries: - cd ${.CURDIR}; \ - ${MAKE} -f Makefile.inc1 _prereq_libs; \ - ${MAKE} -f Makefile.inc1 _startup_libs; \ - ${MAKE} -f Makefile.inc1 _prebuild_libs; \ - ${MAKE} -f Makefile.inc1 _generic_libs; +libraries: .MAKE + cd ${.CURDIR} && \ + ${MAKE} -f Makefile.inc1 _prereq_libs && \ + ${MAKE} -f Makefile.inc1 _startup_libs && \ + ${MAKE} -f Makefile.inc1 _prebuild_libs && \ + ${MAKE} -f Makefile.inc1 _generic_libs # # static libgcc.a prerequisite for shared libc @@ -1382,7 +1459,7 @@ _prebuild_libs= ${_kerberos5_lib_libasn1 ${_kerberos5_lib_libwind} \ ${_lib_atf_libatf_c} \ lib/libbz2 ${_libcom_err} lib/libcrypt \ - lib/libexpat \ + lib/libelf lib/libexpat \ ${_lib_libgssapi} ${_lib_libipx} \ lib/libkiconv lib/libkvm lib/liblzma lib/libmd \ lib/ncurses/ncurses lib/ncurses/ncursesw \ @@ -1493,25 +1570,25 @@ lib/libradius__L: lib/libmd__L .endif .for _lib in ${_prereq_libs} -${_lib}__PL: .PHONY +${_lib}__PL: .PHONY .MAKE .if exists(${.CURDIR}/${_lib}) ${_+_}@${ECHODIR} "===> ${_lib} (obj,depend,all,install)"; \ - cd ${.CURDIR}/${_lib}; \ - ${MAKE} DIRPRFX=${_lib}/ obj; \ - ${MAKE} DIRPRFX=${_lib}/ depend; \ - ${MAKE} -DNO_PROFILE -DNO_PIC DIRPRFX=${_lib}/ all; \ + cd ${.CURDIR}/${_lib} && \ + ${MAKE} DIRPRFX=${_lib}/ obj && \ + ${MAKE} DIRPRFX=${_lib}/ depend && \ + ${MAKE} -DNO_PROFILE -DNO_PIC DIRPRFX=${_lib}/ all && \ ${MAKE} -DNO_PROFILE -DNO_PIC DIRPRFX=${_lib}/ install .endif .endfor .for _lib in ${_startup_libs} ${_prebuild_libs:Nlib/libpam} ${_generic_libs} -${_lib}__L: .PHONY +${_lib}__L: .PHONY .MAKE .if exists(${.CURDIR}/${_lib}) ${_+_}@${ECHODIR} "===> ${_lib} (obj,depend,all,install)"; \ - cd ${.CURDIR}/${_lib}; \ - ${MAKE} DIRPRFX=${_lib}/ obj; \ - ${MAKE} DIRPRFX=${_lib}/ depend; \ - ${MAKE} DIRPRFX=${_lib}/ all; \ + cd ${.CURDIR}/${_lib} && \ + ${MAKE} DIRPRFX=${_lib}/ obj && \ + ${MAKE} DIRPRFX=${_lib}/ depend && \ + ${MAKE} DIRPRFX=${_lib}/ all && \ ${MAKE} DIRPRFX=${_lib}/ install .endif .endfor @@ -1519,12 +1596,12 @@ ${_lib}__L: .PHONY # libpam is special: we need to build static PAM modules before # static PAM library, and dynamic PAM library before dynamic PAM # modules. -lib/libpam__L: .PHONY +lib/libpam__L: .PHONY .MAKE ${_+_}@${ECHODIR} "===> lib/libpam (obj,depend,all,install)"; \ - cd ${.CURDIR}/lib/libpam; \ - ${MAKE} DIRPRFX=lib/libpam/ obj; \ - ${MAKE} DIRPRFX=lib/libpam/ depend; \ - ${MAKE} DIRPRFX=lib/libpam/ -D_NO_LIBPAM_SO_YET all; \ + cd ${.CURDIR}/lib/libpam && \ + ${MAKE} DIRPRFX=lib/libpam/ obj && \ + ${MAKE} DIRPRFX=lib/libpam/ depend && \ + ${MAKE} DIRPRFX=lib/libpam/ -D_NO_LIBPAM_SO_YET all && \ ${MAKE} DIRPRFX=lib/libpam/ -D_NO_LIBPAM_SO_YET install _prereq_libs: ${_prereq_libs:S/$/__PL/} @@ -1534,8 +1611,8 @@ _generic_libs: ${_generic_libs:S/$/__L/} .for __target in all clean cleandepend cleandir depend includes obj .for entry in ${SUBDIR} -${entry}.${__target}__D: .PHONY - ${_+_}@if test -d ${.CURDIR}/${entry}.${MACHINE_ARCH}; then \ +${entry}.${__target}__D: .PHONY .MAKE + ${_+_}@set -e; if test -d ${.CURDIR}/${entry}.${MACHINE_ARCH}; then \ ${ECHODIR} "===> ${DIRPRFX}${entry}.${MACHINE_ARCH} (${__target})"; \ edir=${entry}.${MACHINE_ARCH}; \ cd ${.CURDIR}/$${edir}; \ @@ -1773,10 +1850,10 @@ _xb-bootstrap-tools: .for _tool in \ ${_clang_tblgen} ${_+_}@${ECHODIR} "===> ${_tool} (obj,depend,all,install)"; \ - cd ${.CURDIR}/${_tool}; \ - ${CDMAKE} DIRPRFX=${_tool}/ obj; \ - ${CDMAKE} DIRPRFX=${_tool}/ depend; \ - ${CDMAKE} DIRPRFX=${_tool}/ all; \ + cd ${.CURDIR}/${_tool} && \ + ${CDMAKE} DIRPRFX=${_tool}/ obj && \ + ${CDMAKE} DIRPRFX=${_tool}/ depend && \ + ${CDMAKE} DIRPRFX=${_tool}/ all && \ ${CDMAKE} DIRPRFX=${_tool}/ DESTDIR=${CDTMP} install .endfor @@ -1792,9 +1869,9 @@ _xb-cross-tools: ${_clang_libs} \ ${_clang} ${_+_}@${ECHODIR} "===> xdev ${_tool} (obj,depend,all)"; \ - cd ${.CURDIR}/${_tool}; \ - ${CDMAKE} DIRPRFX=${_tool}/ obj; \ - ${CDMAKE} DIRPRFX=${_tool}/ depend; \ + cd ${.CURDIR}/${_tool} && \ + ${CDMAKE} DIRPRFX=${_tool}/ obj && \ + ${CDMAKE} DIRPRFX=${_tool}/ depend && \ ${CDMAKE} DIRPRFX=${_tool}/ all .endfor @@ -1843,3 +1920,5 @@ _xi-links: xdev xdev-build xdev-install: @echo "*** Error: Both XDEV and XDEV_ARCH must be defined for \"${.TARGET}\" target" .endif + +buildkernel ${WMAKE_TGTS} ${.ALLTARGETS:M_*}: .MAKE Modified: projects/bhyve_svm/ObsoleteFiles.inc ============================================================================== --- projects/bhyve_svm/ObsoleteFiles.inc Wed Aug 7 00:00:48 2013 (r254015) +++ projects/bhyve_svm/ObsoleteFiles.inc Wed Aug 7 00:09:49 2013 (r254016) @@ -38,6 +38,89 @@ # xargs -n1 | sort | uniq -d; # done +# 20130710: libkvm version bump +OLD_LIBS+=lib/libkvm.so.5 +OLD_LIBS+=usr/lib32/libkvm.so.5 +# 20130623: dialog update from 1.1 to 1.2 +OLD_LIBS+=usr/lib/libdialog.so.7 +OLD_LIBS+=usr/lib32/libdialog.so.7 +# 20130616: vfs_mount.9 removed +OLD_FILES+=usr/share/man/man9/vfs_mount.9.gz +# 20130614: remove CVS from base +OLD_FILES+=usr/bin/cvs +OLD_FILES+=usr/bin/cvsbug +OLD_FILES+=usr/share/doc/psd/28.cvs/paper.ascii.gz +OLD_DIRS+=usr/share/doc/psd/28.cvs +OLD_FILES+=usr/share/examples/cvs/contrib/README +OLD_FILES+=usr/share/examples/cvs/contrib/clmerge +OLD_FILES+=usr/share/examples/cvs/contrib/cln_hist +OLD_FILES+=usr/share/examples/cvs/contrib/commit_prep *** DIFF OUTPUT TRUNCATED AT 1000 LINES *** From owner-svn-src-projects@FreeBSD.ORG Wed Aug 7 11:45:40 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id BE2B92F5; Wed, 7 Aug 2013 11:45:40 +0000 (UTC) (envelope-from mav@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id A81DB2513; Wed, 7 Aug 2013 11:45:40 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r77BjeNH081527; Wed, 7 Aug 2013 11:45:40 GMT (envelope-from mav@svn.freebsd.org) Received: (from mav@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r77Bjbj2081510; Wed, 7 Aug 2013 11:45:37 GMT (envelope-from mav@svn.freebsd.org) Message-Id: <201308071145.r77Bjbj2081510@svn.freebsd.org> From: Alexander Motin Date: Wed, 7 Aug 2013 11:45:37 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r254059 - in projects/camlock: . contrib/bind9 contrib/bind9/bin contrib/bind9/bin/check contrib/bind9/bin/confgen contrib/bind9/bin/dig contrib/bind9/bin/dig/include/dig contrib/bind9/... X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 07 Aug 2013 11:45:40 -0000 Author: mav Date: Wed Aug 7 11:45:36 2013 New Revision: 254059 URL: http://svnweb.freebsd.org/changeset/base/254059 Log: MFC @ r254058 Added: projects/camlock/contrib/bind9/lib/dns/rdata/generic/eui48_108.c - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/eui48_108.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/eui48_108.h - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/eui48_108.h projects/camlock/contrib/bind9/lib/dns/rdata/generic/eui64_109.c - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/eui64_109.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/eui64_109.h - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/eui64_109.h projects/camlock/contrib/bind9/lib/dns/rdata/generic/l32_105.c - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/l32_105.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/l32_105.h - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/l32_105.h projects/camlock/contrib/bind9/lib/dns/rdata/generic/l64_106.c - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/l64_106.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/l64_106.h - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/l64_106.h projects/camlock/contrib/bind9/lib/dns/rdata/generic/lp_107.c - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/lp_107.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/lp_107.h - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/lp_107.h projects/camlock/contrib/bind9/lib/dns/rdata/generic/nid_104.c - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/nid_104.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/nid_104.h - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/nid_104.h projects/camlock/contrib/bind9/lib/dns/rdata/generic/uri_256.c - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/uri_256.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/uri_256.h - copied unchanged from r254058, head/contrib/bind9/lib/dns/rdata/generic/uri_256.h projects/camlock/contrib/bind9/lib/isc/include/isc/regex.h - copied unchanged from r254058, head/contrib/bind9/lib/isc/include/isc/regex.h projects/camlock/contrib/bind9/lib/isc/regex.c - copied unchanged from r254058, head/contrib/bind9/lib/isc/regex.c projects/camlock/sys/arm/allwinner/a20/ - copied from r254058, head/sys/arm/allwinner/a20/ projects/camlock/sys/arm/conf/CUBIEBOARD2 - copied unchanged from r254058, head/sys/arm/conf/CUBIEBOARD2 projects/camlock/sys/boot/fdt/dts/cubieboard2.dts - copied unchanged from r254058, head/sys/boot/fdt/dts/cubieboard2.dts projects/camlock/sys/cddl/compat/opensolaris/sys/debug_compat.h - copied unchanged from r254058, head/sys/cddl/compat/opensolaris/sys/debug_compat.h Replaced: projects/camlock/contrib/bind9/libtool.m4/ - copied from r254058, head/contrib/bind9/libtool.m4/ Modified: projects/camlock/UPDATING projects/camlock/contrib/bind9/CHANGES projects/camlock/contrib/bind9/COPYRIGHT projects/camlock/contrib/bind9/FAQ projects/camlock/contrib/bind9/FAQ.xml projects/camlock/contrib/bind9/Makefile.in projects/camlock/contrib/bind9/README projects/camlock/contrib/bind9/aclocal.m4 projects/camlock/contrib/bind9/bin/Makefile.in projects/camlock/contrib/bind9/bin/check/check-tool.c projects/camlock/contrib/bind9/bin/check/named-checkconf.c projects/camlock/contrib/bind9/bin/check/named-checkzone.8 projects/camlock/contrib/bind9/bin/check/named-checkzone.c projects/camlock/contrib/bind9/bin/check/named-checkzone.docbook projects/camlock/contrib/bind9/bin/check/named-checkzone.html projects/camlock/contrib/bind9/bin/confgen/keygen.c projects/camlock/contrib/bind9/bin/confgen/rndc-confgen.c projects/camlock/contrib/bind9/bin/dig/dig.1 projects/camlock/contrib/bind9/bin/dig/dig.c projects/camlock/contrib/bind9/bin/dig/dig.docbook projects/camlock/contrib/bind9/bin/dig/dig.html projects/camlock/contrib/bind9/bin/dig/dighost.c projects/camlock/contrib/bind9/bin/dig/host.c projects/camlock/contrib/bind9/bin/dig/include/dig/dig.h projects/camlock/contrib/bind9/bin/dnssec/dnssec-keyfromlabel.c projects/camlock/contrib/bind9/bin/dnssec/dnssec-keygen.c projects/camlock/contrib/bind9/bin/dnssec/dnssec-revoke.c projects/camlock/contrib/bind9/bin/dnssec/dnssec-settime.c projects/camlock/contrib/bind9/bin/dnssec/dnssec-signzone.c projects/camlock/contrib/bind9/bin/named/Makefile.in projects/camlock/contrib/bind9/bin/named/client.c projects/camlock/contrib/bind9/bin/named/config.c projects/camlock/contrib/bind9/bin/named/control.c projects/camlock/contrib/bind9/bin/named/controlconf.c projects/camlock/contrib/bind9/bin/named/include/named/client.h projects/camlock/contrib/bind9/bin/named/include/named/globals.h projects/camlock/contrib/bind9/bin/named/include/named/server.h projects/camlock/contrib/bind9/bin/named/interfacemgr.c projects/camlock/contrib/bind9/bin/named/log.c projects/camlock/contrib/bind9/bin/named/logconf.c projects/camlock/contrib/bind9/bin/named/lwresd.c projects/camlock/contrib/bind9/bin/named/main.c projects/camlock/contrib/bind9/bin/named/named.conf.5 projects/camlock/contrib/bind9/bin/named/named.conf.docbook projects/camlock/contrib/bind9/bin/named/named.conf.html projects/camlock/contrib/bind9/bin/named/query.c projects/camlock/contrib/bind9/bin/named/server.c projects/camlock/contrib/bind9/bin/named/statschannel.c projects/camlock/contrib/bind9/bin/named/tkeyconf.c projects/camlock/contrib/bind9/bin/named/tsigconf.c projects/camlock/contrib/bind9/bin/named/unix/dlz_dlopen_driver.c projects/camlock/contrib/bind9/bin/named/update.c projects/camlock/contrib/bind9/bin/named/xfrout.c projects/camlock/contrib/bind9/bin/named/zoneconf.c projects/camlock/contrib/bind9/bin/nsupdate/nsupdate.c projects/camlock/contrib/bind9/bin/rndc/rndc.c projects/camlock/contrib/bind9/bin/tools/genrandom.c projects/camlock/contrib/bind9/bin/tools/isc-hmac-fixup.8 projects/camlock/contrib/bind9/bin/tools/isc-hmac-fixup.docbook projects/camlock/contrib/bind9/bin/tools/isc-hmac-fixup.html projects/camlock/contrib/bind9/config.h.in projects/camlock/contrib/bind9/config.threads.in projects/camlock/contrib/bind9/configure.in projects/camlock/contrib/bind9/doc/arm/Bv9ARM-book.xml projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch01.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch02.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch03.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch04.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch05.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch06.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch07.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch08.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch09.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.ch10.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.html projects/camlock/contrib/bind9/doc/arm/Bv9ARM.pdf projects/camlock/contrib/bind9/doc/arm/man.arpaname.html projects/camlock/contrib/bind9/doc/arm/man.ddns-confgen.html projects/camlock/contrib/bind9/doc/arm/man.dig.html projects/camlock/contrib/bind9/doc/arm/man.dnssec-dsfromkey.html projects/camlock/contrib/bind9/doc/arm/man.dnssec-keyfromlabel.html projects/camlock/contrib/bind9/doc/arm/man.dnssec-keygen.html projects/camlock/contrib/bind9/doc/arm/man.dnssec-revoke.html projects/camlock/contrib/bind9/doc/arm/man.dnssec-settime.html projects/camlock/contrib/bind9/doc/arm/man.dnssec-signzone.html projects/camlock/contrib/bind9/doc/arm/man.genrandom.html projects/camlock/contrib/bind9/doc/arm/man.host.html projects/camlock/contrib/bind9/doc/arm/man.isc-hmac-fixup.html projects/camlock/contrib/bind9/doc/arm/man.named-checkconf.html projects/camlock/contrib/bind9/doc/arm/man.named-checkzone.html projects/camlock/contrib/bind9/doc/arm/man.named-journalprint.html projects/camlock/contrib/bind9/doc/arm/man.named.html projects/camlock/contrib/bind9/doc/arm/man.nsec3hash.html projects/camlock/contrib/bind9/doc/arm/man.nsupdate.html projects/camlock/contrib/bind9/doc/arm/man.rndc-confgen.html projects/camlock/contrib/bind9/doc/arm/man.rndc.conf.html projects/camlock/contrib/bind9/doc/arm/man.rndc.html projects/camlock/contrib/bind9/doc/arm/pkcs11.xml projects/camlock/contrib/bind9/doc/misc/options projects/camlock/contrib/bind9/isc-config.sh.in projects/camlock/contrib/bind9/lib/Makefile.in projects/camlock/contrib/bind9/lib/bind9/Makefile.in projects/camlock/contrib/bind9/lib/bind9/api projects/camlock/contrib/bind9/lib/bind9/check.c projects/camlock/contrib/bind9/lib/dns/Makefile.in projects/camlock/contrib/bind9/lib/dns/acache.c projects/camlock/contrib/bind9/lib/dns/adb.c projects/camlock/contrib/bind9/lib/dns/api projects/camlock/contrib/bind9/lib/dns/cache.c projects/camlock/contrib/bind9/lib/dns/client.c projects/camlock/contrib/bind9/lib/dns/db.c projects/camlock/contrib/bind9/lib/dns/dispatch.c projects/camlock/contrib/bind9/lib/dns/dlz.c projects/camlock/contrib/bind9/lib/dns/dnssec.c projects/camlock/contrib/bind9/lib/dns/dst_api.c projects/camlock/contrib/bind9/lib/dns/dst_internal.h projects/camlock/contrib/bind9/lib/dns/dst_openssl.h projects/camlock/contrib/bind9/lib/dns/ecdb.c projects/camlock/contrib/bind9/lib/dns/gen.c projects/camlock/contrib/bind9/lib/dns/gssapictx.c projects/camlock/contrib/bind9/lib/dns/include/dns/acache.h projects/camlock/contrib/bind9/lib/dns/include/dns/db.h projects/camlock/contrib/bind9/lib/dns/include/dns/message.h projects/camlock/contrib/bind9/lib/dns/include/dns/name.h projects/camlock/contrib/bind9/lib/dns/include/dns/ncache.h projects/camlock/contrib/bind9/lib/dns/include/dns/nsec.h projects/camlock/contrib/bind9/lib/dns/include/dns/nsec3.h projects/camlock/contrib/bind9/lib/dns/include/dns/rdata.h projects/camlock/contrib/bind9/lib/dns/include/dns/result.h projects/camlock/contrib/bind9/lib/dns/include/dns/rpz.h projects/camlock/contrib/bind9/lib/dns/include/dns/types.h projects/camlock/contrib/bind9/lib/dns/include/dns/validator.h projects/camlock/contrib/bind9/lib/dns/include/dns/view.h projects/camlock/contrib/bind9/lib/dns/include/dns/zone.h projects/camlock/contrib/bind9/lib/dns/include/dst/dst.h projects/camlock/contrib/bind9/lib/dns/master.c projects/camlock/contrib/bind9/lib/dns/message.c projects/camlock/contrib/bind9/lib/dns/name.c projects/camlock/contrib/bind9/lib/dns/ncache.c projects/camlock/contrib/bind9/lib/dns/nsec.c projects/camlock/contrib/bind9/lib/dns/nsec3.c projects/camlock/contrib/bind9/lib/dns/openssl_link.c projects/camlock/contrib/bind9/lib/dns/openssldsa_link.c projects/camlock/contrib/bind9/lib/dns/opensslecdsa_link.c projects/camlock/contrib/bind9/lib/dns/opensslgost_link.c projects/camlock/contrib/bind9/lib/dns/opensslrsa_link.c projects/camlock/contrib/bind9/lib/dns/peer.c projects/camlock/contrib/bind9/lib/dns/rbt.c projects/camlock/contrib/bind9/lib/dns/rbtdb.c projects/camlock/contrib/bind9/lib/dns/rdata.c projects/camlock/contrib/bind9/lib/dns/rdata/any_255/tsig_250.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/dlv_32769.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/mx_15.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/sshfp_44.c projects/camlock/contrib/bind9/lib/dns/rdata/generic/txt_16.c projects/camlock/contrib/bind9/lib/dns/rdata/in_1/naptr_35.c projects/camlock/contrib/bind9/lib/dns/rdata/in_1/nsap_22.c projects/camlock/contrib/bind9/lib/dns/request.c projects/camlock/contrib/bind9/lib/dns/resolver.c projects/camlock/contrib/bind9/lib/dns/result.c projects/camlock/contrib/bind9/lib/dns/rootns.c projects/camlock/contrib/bind9/lib/dns/rpz.c projects/camlock/contrib/bind9/lib/dns/sdb.c projects/camlock/contrib/bind9/lib/dns/sdlz.c projects/camlock/contrib/bind9/lib/dns/spnego.c projects/camlock/contrib/bind9/lib/dns/spnego_asn1.c projects/camlock/contrib/bind9/lib/dns/ssu.c projects/camlock/contrib/bind9/lib/dns/ssu_external.c projects/camlock/contrib/bind9/lib/dns/tkey.c projects/camlock/contrib/bind9/lib/dns/tsig.c projects/camlock/contrib/bind9/lib/dns/validator.c projects/camlock/contrib/bind9/lib/dns/view.c projects/camlock/contrib/bind9/lib/dns/xfrin.c projects/camlock/contrib/bind9/lib/dns/zone.c projects/camlock/contrib/bind9/lib/export/dns/Makefile.in projects/camlock/contrib/bind9/lib/export/irs/Makefile.in projects/camlock/contrib/bind9/lib/export/isc/Makefile.in projects/camlock/contrib/bind9/lib/export/isc/include/isc/Makefile.in projects/camlock/contrib/bind9/lib/export/isc/nls/Makefile.in projects/camlock/contrib/bind9/lib/export/isc/nothreads/Makefile.in projects/camlock/contrib/bind9/lib/export/isc/pthreads/Makefile.in projects/camlock/contrib/bind9/lib/export/isc/unix/Makefile.in projects/camlock/contrib/bind9/lib/export/isccfg/Makefile.in projects/camlock/contrib/bind9/lib/export/samples/Makefile.in projects/camlock/contrib/bind9/lib/export/samples/nsprobe.c projects/camlock/contrib/bind9/lib/export/samples/sample-async.c projects/camlock/contrib/bind9/lib/export/samples/sample-gai.c projects/camlock/contrib/bind9/lib/export/samples/sample-request.c projects/camlock/contrib/bind9/lib/export/samples/sample-update.c projects/camlock/contrib/bind9/lib/export/samples/sample.c projects/camlock/contrib/bind9/lib/irs/api projects/camlock/contrib/bind9/lib/irs/dnsconf.c projects/camlock/contrib/bind9/lib/irs/getaddrinfo.c projects/camlock/contrib/bind9/lib/irs/getnameinfo.c projects/camlock/contrib/bind9/lib/irs/resconf.c projects/camlock/contrib/bind9/lib/isc/Makefile.in projects/camlock/contrib/bind9/lib/isc/api projects/camlock/contrib/bind9/lib/isc/buffer.c projects/camlock/contrib/bind9/lib/isc/include/isc/Makefile.in projects/camlock/contrib/bind9/lib/isc/include/isc/buffer.h projects/camlock/contrib/bind9/lib/isc/include/isc/file.h projects/camlock/contrib/bind9/lib/isc/include/isc/list.h projects/camlock/contrib/bind9/lib/isc/include/isc/mem.h projects/camlock/contrib/bind9/lib/isc/include/isc/namespace.h projects/camlock/contrib/bind9/lib/isc/include/isc/region.h projects/camlock/contrib/bind9/lib/isc/include/isc/sockaddr.h projects/camlock/contrib/bind9/lib/isc/include/isc/socket.h projects/camlock/contrib/bind9/lib/isc/include/isc/task.h projects/camlock/contrib/bind9/lib/isc/include/isc/timer.h projects/camlock/contrib/bind9/lib/isc/inet_aton.c projects/camlock/contrib/bind9/lib/isc/mem.c projects/camlock/contrib/bind9/lib/isc/nothreads/Makefile.in projects/camlock/contrib/bind9/lib/isc/parseint.c projects/camlock/contrib/bind9/lib/isc/pthreads/thread.c projects/camlock/contrib/bind9/lib/isc/ratelimiter.c projects/camlock/contrib/bind9/lib/isc/sockaddr.c projects/camlock/contrib/bind9/lib/isc/sparc64/include/isc/atomic.h projects/camlock/contrib/bind9/lib/isc/symtab.c projects/camlock/contrib/bind9/lib/isc/task.c projects/camlock/contrib/bind9/lib/isc/taskpool.c projects/camlock/contrib/bind9/lib/isc/timer.c projects/camlock/contrib/bind9/lib/isc/timer_api.c projects/camlock/contrib/bind9/lib/isc/unix/entropy.c projects/camlock/contrib/bind9/lib/isc/unix/file.c projects/camlock/contrib/bind9/lib/isc/unix/include/isc/time.h projects/camlock/contrib/bind9/lib/isc/unix/net.c projects/camlock/contrib/bind9/lib/isc/unix/socket.c projects/camlock/contrib/bind9/lib/isc/unix/time.c projects/camlock/contrib/bind9/lib/isccc/api projects/camlock/contrib/bind9/lib/isccc/cc.c projects/camlock/contrib/bind9/lib/isccfg/Makefile.in projects/camlock/contrib/bind9/lib/isccfg/aclconf.c projects/camlock/contrib/bind9/lib/isccfg/api projects/camlock/contrib/bind9/lib/isccfg/include/isccfg/cfg.h projects/camlock/contrib/bind9/lib/isccfg/namedconf.c projects/camlock/contrib/bind9/lib/isccfg/parser.c projects/camlock/contrib/bind9/lib/lwres/api projects/camlock/contrib/bind9/lib/lwres/context.c projects/camlock/contrib/bind9/lib/lwres/getaddrinfo.c projects/camlock/contrib/bind9/lib/lwres/getipnode.c projects/camlock/contrib/bind9/lib/lwres/getnameinfo.c projects/camlock/contrib/bind9/lib/lwres/getrrset.c projects/camlock/contrib/bind9/lib/lwres/lwinetaton.c projects/camlock/contrib/bind9/lib/lwres/print.c projects/camlock/contrib/bind9/ltmain.sh projects/camlock/contrib/bind9/make/rules.in projects/camlock/contrib/bind9/version projects/camlock/contrib/libcxxrt/unwind-itanium.h projects/camlock/contrib/wpa/src/drivers/driver_wired.c projects/camlock/lib/bind/config.h projects/camlock/lib/bind/dns/code.h projects/camlock/lib/bind/dns/dns/enumtype.h projects/camlock/lib/bind/dns/dns/rdatastruct.h projects/camlock/lib/bind/isc/Makefile projects/camlock/sbin/fdisk_pc98/fdisk.c projects/camlock/share/man/man4/ciss.4 projects/camlock/share/man/man4/usb_quirk.4 projects/camlock/sys/amd64/amd64/mp_machdep.c projects/camlock/sys/amd64/amd64/pmap.c projects/camlock/sys/amd64/amd64/sys_machdep.c projects/camlock/sys/amd64/amd64/vm_machdep.c projects/camlock/sys/amd64/conf/GENERIC projects/camlock/sys/arm/allwinner/common.c projects/camlock/sys/arm/allwinner/files.a10 projects/camlock/sys/arm/allwinner/timer.c projects/camlock/sys/arm/arm/bus_space_generic.c projects/camlock/sys/arm/arm/busdma_machdep-v6.c projects/camlock/sys/arm/arm/busdma_machdep.c projects/camlock/sys/arm/arm/exception.S projects/camlock/sys/arm/arm/mp_machdep.c projects/camlock/sys/arm/arm/mpcore_timer.c projects/camlock/sys/arm/arm/pmap-v6.c projects/camlock/sys/arm/arm/pmap.c projects/camlock/sys/arm/arm/vm_machdep.c projects/camlock/sys/arm/at91/at91.c projects/camlock/sys/arm/include/asmacros.h projects/camlock/sys/arm/include/frame.h projects/camlock/sys/arm/mv/armadaxp/armadaxp_mp.c projects/camlock/sys/arm/s3c2xx0/s3c2xx0_space.c projects/camlock/sys/arm/ti/omap4/omap4_prcm_clks.c projects/camlock/sys/arm/xscale/i80321/i80321_space.c projects/camlock/sys/arm/xscale/i8134x/i81342_space.c projects/camlock/sys/arm/xscale/ixp425/ixp425_pci_space.c projects/camlock/sys/boot/fdt/dts/pandaboard.dts projects/camlock/sys/boot/pc98/boot2/boot2.c projects/camlock/sys/boot/pc98/libpc98/biosdisk.c projects/camlock/sys/cam/cam_periph.c projects/camlock/sys/cam/cam_xpt.c projects/camlock/sys/cam/cam_xpt_periph.h projects/camlock/sys/cam/ctl/ctl.c projects/camlock/sys/cam/ctl/ctl_frontend_internal.c projects/camlock/sys/cam/scsi/scsi_da.c projects/camlock/sys/cddl/compat/opensolaris/kern/opensolaris_kmem.c projects/camlock/sys/cddl/compat/opensolaris/sys/kmem.h projects/camlock/sys/cddl/compat/opensolaris/sys/mutex.h projects/camlock/sys/cddl/compat/opensolaris/sys/rwlock.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/spa.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/spa_impl.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/sys/zio_impl.h projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/vdev_disk.c projects/camlock/sys/cddl/contrib/opensolaris/uts/common/fs/zfs/zio.c projects/camlock/sys/cddl/dev/dtrace/dtrace_load.c projects/camlock/sys/cddl/dev/dtrace/dtrace_unload.c projects/camlock/sys/compat/linux/linux_misc.c projects/camlock/sys/compat/ndis/subr_ntoskrnl.c projects/camlock/sys/conf/kern.pre.mk projects/camlock/sys/conf/options projects/camlock/sys/dev/aac/aac_pci.c projects/camlock/sys/dev/aac/aacvar.h projects/camlock/sys/dev/bktr/bktr_core.c projects/camlock/sys/dev/ciss/ciss.c projects/camlock/sys/dev/drm/drm_scatter.c projects/camlock/sys/dev/drm2/drm_scatter.c projects/camlock/sys/dev/drm2/i915/intel_ringbuffer.c projects/camlock/sys/dev/drm2/ttm/ttm_bo_util.c projects/camlock/sys/dev/e1000/if_em.c projects/camlock/sys/dev/e1000/if_igb.c projects/camlock/sys/dev/filemon/filemon.c projects/camlock/sys/dev/filemon/filemon_wrapper.c projects/camlock/sys/dev/ixgbe/ixgbe.c projects/camlock/sys/dev/ixgbe/ixv.c projects/camlock/sys/dev/xen/blkback/blkback.c projects/camlock/sys/dev/xen/netback/netback.c projects/camlock/sys/dev/xen/xenpci/xenpci.c projects/camlock/sys/fs/tmpfs/tmpfs.h projects/camlock/sys/fs/tmpfs/tmpfs_subr.c projects/camlock/sys/geom/geom_pc98.c projects/camlock/sys/geom/part/g_part_pc98.c projects/camlock/sys/i386/conf/GENERIC projects/camlock/sys/i386/i386/machdep.c projects/camlock/sys/i386/i386/mp_machdep.c projects/camlock/sys/i386/i386/pmap.c projects/camlock/sys/i386/i386/sys_machdep.c projects/camlock/sys/i386/i386/vm_machdep.c projects/camlock/sys/i386/ibcs2/imgact_coff.c projects/camlock/sys/i386/pci/pci_cfgreg.c projects/camlock/sys/i386/xen/mp_machdep.c projects/camlock/sys/i386/xen/pmap.c projects/camlock/sys/ia64/conf/GENERIC projects/camlock/sys/ia64/ia64/mp_machdep.c projects/camlock/sys/kern/imgact_gzip.c projects/camlock/sys/kern/init_main.c projects/camlock/sys/kern/kern_exec.c projects/camlock/sys/kern/kern_malloc.c projects/camlock/sys/kern/kern_mbuf.c projects/camlock/sys/kern/kern_poll.c projects/camlock/sys/kern/kern_sharedpage.c projects/camlock/sys/kern/subr_busdma_bufalloc.c projects/camlock/sys/kern/subr_vmem.c projects/camlock/sys/kern/vfs_bio.c projects/camlock/sys/kern/vfs_lookup.c projects/camlock/sys/mips/mips/mp_machdep.c projects/camlock/sys/mips/mips/pmap.c projects/camlock/sys/mips/mips/vm_machdep.c projects/camlock/sys/mips/sibyte/sb_zbpci.c projects/camlock/sys/modules/cyclic/Makefile projects/camlock/sys/modules/dtrace/dtio/Makefile projects/camlock/sys/modules/dtrace/dtmalloc/Makefile projects/camlock/sys/modules/dtrace/dtnfscl/Makefile projects/camlock/sys/modules/dtrace/dtnfsclient/Makefile projects/camlock/sys/modules/dtrace/dtrace/Makefile projects/camlock/sys/modules/dtrace/dtrace_test/Makefile projects/camlock/sys/modules/dtrace/dtraceall/Makefile projects/camlock/sys/modules/dtrace/fasttrap/Makefile projects/camlock/sys/modules/dtrace/fbt/Makefile projects/camlock/sys/modules/dtrace/lockstat/Makefile projects/camlock/sys/modules/dtrace/profile/Makefile projects/camlock/sys/modules/dtrace/prototype/Makefile projects/camlock/sys/modules/dtrace/sdt/Makefile projects/camlock/sys/modules/dtrace/systrace/Makefile projects/camlock/sys/modules/dtrace/systrace_freebsd32/Makefile projects/camlock/sys/modules/dtrace/systrace_linux32/Makefile projects/camlock/sys/modules/opensolaris/Makefile projects/camlock/sys/modules/zfs/Makefile projects/camlock/sys/net/if_tun.c projects/camlock/sys/netinet6/icmp6.c projects/camlock/sys/netinet6/in6.c projects/camlock/sys/netinet6/in6.h projects/camlock/sys/netinet6/ip6_forward.c projects/camlock/sys/netinet6/ip6_id.c projects/camlock/sys/netinet6/ip6_mroute.c projects/camlock/sys/netinet6/nd6.c projects/camlock/sys/netinet6/nd6_rtr.c projects/camlock/sys/ofed/include/linux/dma-mapping.h projects/camlock/sys/ofed/include/linux/gfp.h projects/camlock/sys/ofed/include/linux/linux_compat.c projects/camlock/sys/pc98/pc98/machdep.c projects/camlock/sys/powerpc/aim/mmu_oea.c projects/camlock/sys/powerpc/aim/mmu_oea64.c projects/camlock/sys/powerpc/aim/vm_machdep.c projects/camlock/sys/powerpc/booke/pmap.c projects/camlock/sys/powerpc/booke/vm_machdep.c projects/camlock/sys/powerpc/conf/GENERIC projects/camlock/sys/powerpc/ofw/ofw_syscons.c projects/camlock/sys/powerpc/powerpc/busdma_machdep.c projects/camlock/sys/powerpc/powerpc/mp_machdep.c projects/camlock/sys/sparc64/conf/GENERIC projects/camlock/sys/sparc64/include/atomic.h projects/camlock/sys/sparc64/sparc64/bus_machdep.c projects/camlock/sys/sparc64/sparc64/mem.c projects/camlock/sys/sparc64/sparc64/mp_machdep.c projects/camlock/sys/sparc64/sparc64/pmap.c projects/camlock/sys/sparc64/sparc64/vm_machdep.c projects/camlock/sys/sys/diskpc98.h projects/camlock/sys/sys/param.h projects/camlock/sys/ufs/ffs/ffs_softdep.c projects/camlock/sys/ufs/ufs/ufs_vnops.c projects/camlock/sys/vm/memguard.c projects/camlock/sys/vm/memguard.h projects/camlock/sys/vm/pmap.h projects/camlock/sys/vm/uma_core.c projects/camlock/sys/vm/vm_extern.h projects/camlock/sys/vm/vm_glue.c projects/camlock/sys/vm/vm_init.c projects/camlock/sys/vm/vm_kern.c projects/camlock/sys/vm/vm_kern.h projects/camlock/sys/vm/vm_map.c projects/camlock/sys/vm/vm_map.h projects/camlock/sys/vm/vm_object.c projects/camlock/sys/vm/vm_phys.c projects/camlock/sys/x86/x86/busdma_machdep.c projects/camlock/sys/xen/gnttab.c projects/camlock/tools/build/mk/OptionalObsoleteFiles.inc projects/camlock/tools/tools/bootparttest/bootparttest.c projects/camlock/usr.bin/ldd/ldd.c projects/camlock/usr.sbin/boot98cfg/boot98cfg.c projects/camlock/usr.sbin/named/Makefile projects/camlock/usr.sbin/ndp/ndp.c projects/camlock/usr.sbin/rtadvctl/rtadvctl.c projects/camlock/usr.sbin/rtadvd/config.c projects/camlock/usr.sbin/rtadvd/rrenum.c projects/camlock/usr.sbin/rtadvd/rtadvd.c projects/camlock/usr.sbin/rtadvd/rtadvd.h projects/camlock/usr.sbin/rtadvd/timer.c projects/camlock/usr.sbin/rtadvd/timer.h projects/camlock/usr.sbin/rtadvd/timer_subr.c projects/camlock/usr.sbin/rtadvd/timer_subr.h projects/camlock/usr.sbin/rtsold/dump.c projects/camlock/usr.sbin/rtsold/rtsol.c projects/camlock/usr.sbin/rtsold/rtsold.c projects/camlock/usr.sbin/rtsold/rtsold.h Directory Properties: projects/camlock/ (props changed) projects/camlock/contrib/bind9/ (props changed) projects/camlock/contrib/libcxxrt/ (props changed) projects/camlock/contrib/wpa/ (props changed) projects/camlock/sbin/ (props changed) projects/camlock/share/man/man4/ (props changed) projects/camlock/sys/ (props changed) projects/camlock/sys/boot/ (props changed) projects/camlock/sys/cddl/contrib/opensolaris/ (props changed) projects/camlock/sys/conf/ (props changed) projects/camlock/usr.sbin/rtadvctl/ (props changed) projects/camlock/usr.sbin/rtadvd/ (props changed) projects/camlock/usr.sbin/rtsold/ (props changed) Modified: projects/camlock/UPDATING ============================================================================== --- projects/camlock/UPDATING Wed Aug 7 11:34:20 2013 (r254058) +++ projects/camlock/UPDATING Wed Aug 7 11:45:36 2013 (r254059) @@ -31,6 +31,24 @@ NOTE TO PEOPLE WHO THINK THAT FreeBSD 10 disable the most expensive debugging functionality run "ln -s 'abort:false,junk:false' /etc/malloc.conf".) +20130806: + INVARIANTS option now enables DEBUG for code with OpenSolaris and + Illumos origin, including ZFS. If you have INVARIANTS in your + kernel configuration, then there is no need to set DEBUG or ZFS_DEBUG + explicitly. + DEBUG used to enable witness(9) tracking of OpenSolaris (mostly ZFS) + locks if WITNESS option was set. Because that generated a lot of + witness(9) reports and all of them were believed to be false + positives, this is no longer done. New option OPENSOLARIS_WITNESS + can be used to achieve the previous behavior. + +20130806: + Timer values in IPv6 data structures now use time_uptime instead + of time_second. Although this is not a user-visible functional + change, userland utilities which directly use them---ndp(8), + rtadvd(8), and rtsold(8) in the base system---need to be updated + to r253970 or later. + 20130802: find -delete can now delete the pathnames given as arguments, instead of only files found below them or if the pathname did Modified: projects/camlock/contrib/bind9/CHANGES ============================================================================== --- projects/camlock/contrib/bind9/CHANGES Wed Aug 7 11:34:20 2013 (r254058) +++ projects/camlock/contrib/bind9/CHANGES Wed Aug 7 11:45:36 2013 (r254059) @@ -1,20 +1,392 @@ - --- 9.8.4-P2 released --- + --- 9.8.5-P2 released --- -3516. [security] Removed the check for regex.h in configure in order - to disable regex syntax checking, as it exposes - BIND to a critical flaw in libregex on some - platforms. [RT #32688] +3621. [security] Incorrect bounds checking on private type 'keydata' + can lead to a remotely triggerable REQUIRE failure + (CVE-2013-4854). [RT #34238] - --- 9.8.4-P1 released --- + --- 9.8.5-P1 released --- -3407. [security] Named could die on specific queries with dns64 enabled. - [Addressed in change #3388 for BIND 9.8.5 and 9.9.3.] +3584. [security] Caching data from an incompletely signed zone could + trigger an assertion failure in resolver.c [RT #33690] - --- 9.8.4 released --- + --- 9.8.5 released --- + +3568. [cleanup] Add a product description line to the version file, + to be reported by named -v/-V. [RT #33366] + +3567. [bug] Silence clang static analyzer warnings. [RT #33365] + +3563. [contrib] zone2sqlite failed with some table names. [RT #33375] + +3561. [bug] dig: issue a warning if an EDNS query returns FORMERR + or NOTIMP. Adjust usage message. [RT #33363] + + --- 9.8.5rc1 released --- + +3560. [bug] isc-config.sh did not honor includedir and libdir + when set via configure. [RT #33345] + +3559. [func] Check that both forms of Sender Policy Framework + records exist or do not exist. [RT #33355] + +3558. [bug] IXFR of a DLZ stored zone was broken. [RT #33331] + +3556. [maint] Added AAAA for D.ROOT-SERVERS.NET. + +3555. [bug] Address theoretical race conditions in acache.c + (change #3553 was incomplete). [RT #33252] + +3553. [bug] Address suspected double free in acache. [RT #33252] + +3552. [bug] Wrong getopt option string for 'nsupdate -r'. + [RT #33280] + +3549. [doc] Documentation for "request-nsid" was missing. + [RT #33153] + +3548. [bug] The NSID request code in resolver.c was broken + resulting in invalid EDNS options being sent. + [RT #33153] + +3547. [bug] Some malformed unknown rdata records were not properly + detected and rejected. [RT #33129] + +3056. [func] Added support for URI resource record. [RT #23386] + + --- 9.8.5rc1 released --- + +3546. [func] Add EUI48 and EUI64 types. [RT #33082] + +3544. [contrib] check5011.pl: Script to report the status of + managed keys as recorded in managed-keys.bind. + Contributed by Tony Finch + +3543. [bug] Update socket structure before attaching to socket + manager after accept. [RT #33084] + +3542. [bug] masterformat system test was broken. [RT #33086] + +3541. [bug] Parts of libdns were not properly initialized when + built in libexport mode. [RT #33028] + +3540. [test] libt_api: t_info and t_assert were not thread safe. + +3539. [port] win32: timestamp format didn't match other platforms. + +3538. [test] Running "make test" now requires loopback interfaces + to be set up. [RT #32452] + +3537. [tuning] Slave zones, when updated, now send NOTIFY messages + to peers before being dumped to disk rather than + after. [RT #27242] + +3535. [bug] Minor win32 cleanups. [RT #32962] + +3534. [bug] Extra text after an embedded NULL was ignored when + parsing zone files. [RT #32699] + +3533. [contrib] query-loc-0.4.0: memory leaks. [RT #32960] + +3532. [contrib] zkt: fixed buffer overrun, resource leaks. [RT #32960] + +3531. [bug] win32: A uninitialized value could be returned on out + of memory. [RT #32960] + +3530. [contrib] Better RTT tracking in queryperf. [RT #30128] + +3526. [cleanup] Set up dependencies for unit tests correctly during + build. [RT #32803] + +3521. [bug] Address memory leak in opensslecdsa_link.c. [RT #32249] + +3520. [bug] 'mctx' was not being referenced counted in some places + where it should have been. [RT #32794] + + --- 9.8.5b2 released --- + +3517. [bug] Reorder destruction to avoid shutdown race. [RT #32777] + +3515. [port] '%T' is not portable in strftime(). [RT #32763] + +3514. [bug] The ranges for valid key sizes in ddns-confgen and + rndc-confgen were too constrained. Keys up to 512 + bits are now allowed for most algorithms, and up + to 1024 bits for hmac-sha384 and hmac-sha512. + [RT #32753] + +3509. [cleanup] Added a product line to version file to allow for + easy naming of different products (BIND + vs BIND ESV, for example). [RT #32755] + +3508. [contrib] queryperf was incorrectly rejecting the -T option. + [RT #32338] + +3503. [doc] Clarify size_spec syntax. [RT #32449] + +3500. [security] Support NAPTR regular expression validation on + all platforms without using libregex, which + can be vulnerable to memory exhaustion attack + (CVE-2013-2266). [RT #32688] + +3499. [doc] Corrected ARM documentation of built-in zones. + [RT #32694] + +3498. [bug] zone statistics for zones which matched a potential + empty zone could have their zone-statistics setting + overridden. + +3496. [func] Improvements to RPZ performance. The "response-policy" + syntax now includes a "min-ns-dots" clause, with + default 1, to exclude top-level domains from + NSIP and NSDNAME checking. --enable-rpz-nsip and + --enable-rpz-nsdname are now the default. [RT #32251] + +3489. [bug] --enable-developer now turns on ISC_LIST_CHECKINIT. + When cloning a rdataset do not copy the link contents. + [RT #32651] + +3488. [bug] Use after free error with DH generated keys. [RT #32649] + +3487. [bug] Change 3444 was not complete. There was a additional + place where the NOQNAME proof needed to be saved. + [RT #32629] + +3486. [bug] named could crash when using TKEY-negotiated keys + that had been deleted and then recreated. [RT #32506] + +3485. [cleanup] Only compile openssl_gostlink.c if we support GOST. + +3481. [cleanup] Removed use of const const in atf. + +3479. [bug] Address potential memory leaks in gssapi support + code. [RT #32405] + +3478. [port] Fix a build failure in strict C99 environments + [RT #32475] + +3474. [bug] nsupdate could assert when the local and remote + address families didn't match. [RT #22897] + +3470. [bug] Slave zones could fail to dump when successfully + refreshing after an initial failure. [RT #31276] + + --- 9.8.5b1 released --- + +3468. [security] RPZ rules to generate A records (but not AAAA records) + could trigger an assertion failure when used in + conjunction with DNS64 (CVE-2012-5689). [RT #32141] + +3467. [bug] Added checks in dnssec-keygen and dnssec-settime + to check for delete date < inactive date. [RT #31719] + +3465. [bug] Handle isolated reserved ports. [RT #31778] + +3464. [maint] Updates to PKCS#11 openssl patches, supporting + versions 0.9.8x, 1.0.0j, 1.0.1c [RT #29749] + +3463. [doc] Clarify managed-keys syntax in ARM. [RT #32232] + +3462. [doc] Clarify server selection behavior of dig when using + -4 or -6 options. [RT #32181] + +3461. [bug] Negative responses could incorrectly have AD=1 + set. [RT #32237] + +3458. [bug] Return FORMERR when presented with a overly long + domain named in a request. [RT #29682] + +3457. [protocol] Add ILNP records (NID, LP, L32, L64). [RT #31836] + +3456. [port] g++47: ATF failed to compile. [RT #32012] + +3455. [contrib] queryperf: fix getopt option list. [RT #32338] + +3454. [port] sparc64: improve atomic support. [RT #25182] + +3452. [bug] Accept duplicate singleton records. [RT #32329] + +3451. [port] Increase per thread stack size from 64K to 1M. + [RT #32230] + +3450. [bug] Stop logfileconfig system test spam system logs. + [RT #32315] + +3449. [bug] gen.c: use the pre-processor to construct format + strings so that compiler can perform sanity checks; + check the snprintf results. [RT #17576] + +3448. [bug] The allow-query-on ACL was not processed correctly. + [RT #29486] + +3447. [port] Add support for libxml2-2.9.x [RT #32231] + +3446. [port] win32: Add source ID (see change #3400) to build. + [RT #31683] + +3445. [bug] Warn about zone files with blank owner names + immediately after $ORIGIN directives. [RT #31848] + +3444. [bug] The NOQNAME proof was not being returned from cached + insecure responses. [RT #21409] + +3443. [bug] ddns-confgen: Some TSIG algorithms were incorrectly + rejected when generating keys. [RT #31927] + +3442. [port] Net::DNS 0.69 introduced a non backwards compatible + change. [RT #32216] + +3441. [maint] D.ROOT-SERVERS.NET is now 199.7.91.13. + +3440. [bug] Reorder get_key_struct to not trigger a assertion when + cleaning up due to out of memory error. [RT #32131] + +3439. [bug] contrib/dlz error checking fixes. [RT #32102] + +3438. [bug] Don't accept unknown data escape in quotes. [RT #32031] + +3437. [bug] isc_buffer_init -> isc_buffer_constinit to initialize + buffers with constant data. [RT #32064] + +3436. [bug] Check malloc/calloc return values. [RT #32088] + +3435. [bug] Cross compilation support in configure was broken. + [RT #32078] + +3431. [bug] ddns-confgen: Some valid key algorithms were + not accepted. [RT #31927] + +3430. [bug] win32: isc_time_formatISO8601 was missing the + 'T' between the date and time. [RT #32044] + +3429. [bug] dns_zone_getserial2 could a return success without + returning a valid serial. [RT #32007] + +3428. [cleanup] dig: Add timezone to date output. [RT #2269] + +3427. [bug] dig +trace incorrectly displayed name server + addresses instead of names. [RT #31641] + +3425. [bug] "acacheentry" reference counting was broken resulting + in use after free. [RT #31908] + +3422. [bug] Added a clear error message for when the SOA does not + match the referral. [RT #31281] + +3421. [bug] Named loops when re-signing if all keys are offline. + [RT #31916] + +3420. [bug] Address VPATH compilation issues. [RT #31879] + +3419. [bug] Memory leak on validation cancel. [RT #31869] + +3415. [bug] named could die with a REQUIRE failure if a validation + was canceled. [RT #31804] + +3412. [bug] Copy timeval structure from control message data. + [RT #31548] + +3411. [tuning] Use IPV6_USE_MIN_MTU or equivalent with TCP in addition + to UDP. [RT #31690] + +3410. [bug] Addressed Coverity warnings. [RT #31626] + +3409. [contrib] contrib/dane/mkdane.sh: Tool to generate TLSA RR's + from X.509 certificates, for use with DANE + (DNS-based Authentication of Named Entities). + [RT #30513] + +3406. [bug] mem.c: Fix compilation errors when building with + ISC_MEM_TRACKLINES or ISC_MEMPOOL_NAMES disabled. + Also, ISC_MEM_DEBUG is no longer optional. [RT #31559] + +3405. [bug] Handle time going backwards in acache. [RT #31253] + +3404. [bug] dnssec-signzone: When re-signing a zone, remove + RRSIG and NSEC records from nodes that used to be + in-zone but are now below a zone cut. [RT #31556] + +3403. [bug] Silence noisy OpenSSL logging. [RT #31497] + +3402. [test] The IPv6 interface numbers used for system + tests were incorrect on some platforms. [RT #25085] + +3401. [bug] Addressed Coverity warnings. [RT #31484] + +3400. [cleanup] "named -V" can now report a source ID string, defined + in the "srcid" file in the build tree and normally set + to the most recent git hash. [RT #31494] + +3397. [bug] dig crashed when using +nssearch with +tcp. [RT #25298] + +3396. [bug] OPT records were incorrectly removed from signed, + truncated responses. [RT #31439] + +3395. [protocol] Add RFC 6598 reverse zones to built in empty zones + list, 64.100.IN-ADDR.ARPA ... 127.100.IN-ADDR.ARPA. + [RT #31336] + +3394. [bug] Adjust 'successfully validated after lower casing + signer' log level and category. [RT #31414] + +3393. [bug] 'host -C' could core dump if REFUSED was received. + [RT #31381] + +3391. [bug] A DNSKEY lookup that encountered a CNAME failed. + [RT #31262] + +3390. [bug] Silence clang compiler warnings. [RT #30417] + +3389. [bug] Always return NOERROR (not 0) in TSIG. [RT #31275] + +3388. [bug] Fixed several Coverity warnings. + Note: This change includes a fix for a bug that + was subsequently determined to be an exploitable + security vulnerability, CVE-2012-5688: named could + die on specific queries with dns64 enabled. + [RT #30996] + +3386. [bug] Address locking violation when generating new NSEC / + NSEC3 chains. [RT #31224] + +3384. [bug] Improved logging of crypto errors. [RT #30963] 3383. [security] A certain combination of records in the RBT could - cause named to hang while populating the additional - section of a response. [RT #31090] + cause named to hang while populating the additional + section of a response. [RT #31090] + +3382. [bug] SOA query from slave used use-v6-udp-ports range, + if set, regardless of the address family in use. + [RT #24173] + +3381. [contrib] Update queryperf to support more RR types. + [RT #30762] + +3380. [bug] named could die if a nonexistent master list was + referenced in a also-notify. [RT #31004] + +3379. [bug] isc_interval_zero and isc_time_epoch should be + "const (type)* const". [RT #31069] + +3378. [bug] Handle missing 'managed-keys-directory' better. + [RT #30625] + +3376. [bug] Lack of EDNS support was being recorded without a + successful response. [RT #30811] + +3375. [func] Check that 'rndc dumpdb' works on a empty cache. + [RT #30808] + +3374. [bug] isc_parse_uint32 failed to return a range error on + systems with 64 bit longs. [RT #30232] + +3372. [bug] Silence spurious "deleted from unreachable cache" + messages. [RT #30501] + +3371. [bug] AD=1 should behave like DO=1 when deciding whether to + add NS RRsets to the additional section or not. + [RT #30479] + + --- 9.8.4 released --- 3373. [bug] win32: open raw files in binary mode. [RT #30944] @@ -135,11 +507,11 @@ --- 9.8.3 released --- 3318. [tuning] Reduce the amount of work performed while holding a - bucket lock when finshed with a fetch context. + bucket lock when finished with a fetch context. [RT #29239] -3314. [bug] The masters list could be updated while refesh_callback - and stub_callback were using it. [RT #26732] +3314. [bug] The masters list could be updated while stub_callback + or refresh_callback were using it. [RT #26732] 3313. [protocol] Add TLSA record type. [RT #28989] @@ -151,7 +523,7 @@ 3310. [test] Increase table size for mutex profiling. [RT #28809] -3309. [bug] resolver.c:fctx_finddone() was not threadsafe. +3309. [bug] resolver.c:fctx_finddone() was not thread safe. [RT #27995] 3307. [bug] Add missing ISC_LANG_BEGINDECLS and ISC_LANG_ENDDECLS. @@ -328,7 +700,7 @@ 3234. [bug] 'make depend' produced invalid makefiles. [RT #26830] -3231. [bug] named could fail to send a uncompressable zone. +3231. [bug] named could fail to send a incompressible zone. [RT #26796] 3230. [bug] 'dig axfr' failed to properly handle a multi-message @@ -345,7 +717,7 @@ 3226. [bug] Address minor resource leakages. [RT #26624] -3221. [bug] Fixed a potential coredump on shutdown due to +3221. [bug] Fixed a potential core dump on shutdown due to referencing fetch context after it's been freed. [RT #26720] @@ -369,7 +741,7 @@ 3209. [func] Add "dnssec-lookaside 'no'". [RT #24858] -3208. [bug] 'dig -y' handle unknown tsig alorithm better. +3208. [bug] 'dig -y' handle unknown tsig algorithm better. [RT #25522] 3207. [contrib] Fixed build error in Berkeley DB DLZ module. [RT #26444] @@ -672,7 +1044,7 @@ 3077. [bug] zone.c:zone_refreshkeys() incorrectly called dns_zone_attach(), use zone->irefs instead. [RT #23303] -3075. [bug] dns_dnssec_findzonekeys{2} used a inconsistant +3075. [bug] dns_dnssec_findzonekeys{2} used a inconsistent timestamp when determining which keys are active. [RT #23642] @@ -686,7 +1058,7 @@ 3072. [bug] dns_dns64_aaaaok() potential NULL pointer dereference. [RT #20256] -3071. [bug] has_nsec could be used unintialised in +3071. [bug] has_nsec could be used uninitialized in update.c:next_active. [RT #20256] 3070. [bug] dnssec-signzone potential NULL pointer dereference. @@ -732,7 +1104,7 @@ 3052. [test] Fixed last autosign test report. [RT #23256] -3051. [bug] NS records obsure DNAME records at the bottom of the +3051. [bug] NS records obscure DNAME records at the bottom of the zone if both are present. [RT #23035] 3050. [bug] The autosign system test was timing dependent. @@ -742,7 +1114,7 @@ 3049. [bug] Save and restore the gid when creating creating named.pid at startup. [RT #23290] -3048. [bug] Fully separate view key mangement. [RT #23419] +3048. [bug] Fully separate view key management. [RT #23419] 3047. [bug] DNSKEY NODATA responses not cached fixed in validator.c. Tests added to dnssec system test. @@ -1079,7 +1451,7 @@ no data response. [RT #21744] 2952. [port] win32: named-checkzone and named-checkconf failed - to initialise winsock. [RT #21932] + to initialize winsock. [RT #21932] 2951. [bug] named failed to generate a correct signed response in a optout, delegation only zone with no secure @@ -1125,7 +1497,7 @@ in use. [RT# 21868] 2938. [bug] When generating signed responses, from a signed zone - that uses NSEC3, named would use a uninitialised + that uses NSEC3, named would use a uninitialized pointer if it needed to skip a NSEC3 record because it didn't match the selected NSEC3PARAM record for zone. [RT# 21868] @@ -1179,7 +1551,7 @@ revisit the issue and complete the fix later. [RT #21710] -2930. [experimental] New "rndc addzone" and "rndc delzone" commads +2930. [experimental] New "rndc addzone" and "rndc delzone" commands allow dynamic addition and deletion of zones. To enable this feature, specify a "new-zone-file" option at the view or options level in named.conf. @@ -1355,7 +1727,7 @@ successfully responds to the query using plain DNS. [RT #20930] -2873. [bug] Cancelling a dynamic update via the dns/client module +2873. [bug] Canceling a dynamic update via the dns/client module could trigger an assertion failure. [RT #21133] 2872. [bug] Modify dns/client.c:dns_client_createx() to only @@ -1397,7 +1769,7 @@ 2860. [bug] named-checkconf's usage was out of date. [RT #21039] -2859. [bug] When cancelling validation it was possible to leak +2859. [bug] When canceling validation it was possible to leak memory. [RT #20800] 2858. [bug] RTT estimates were not being adjusted on ICMP errors. @@ -1950,7 +2322,7 @@ 2695. [func] DHCP/DDNS - update fdwatch code for use by DHCP. Modify the api to isc_sockfdwatch_t (the - callback functon for isc_socket_fdwatchcreate) + callback function for isc_socket_fdwatchcreate) to include information about the direction (read or write) and add isc_socket_fdwatchpoke. [RT #20253] @@ -2015,7 +2387,7 @@ sets the time when a key is no longer used for signing but is still published. - The "unpublished" date (-U) is deprecated in - favour of "deleted" (-D). + favor of "deleted" (-D). [RT #20247] 2676. [bug] --with-export-installdir should have been @@ -2461,7 +2833,7 @@ 2553. [bug] Reference leak on DNSSEC validation errors. [RT #19291] -2552. [bug] zero-no-soa-ttl-cache was not being honoured. +2552. [bug] zero-no-soa-ttl-cache was not being honored. [RT #19340] 2551. [bug] Potential Reference leak on return. [RT #19341] @@ -2514,7 +2886,7 @@ 2534. [func] Check NAPTR records regular expressions and replacement strings to ensure they are syntactically - valid and consistant. [RT #18168] + valid and consistent. [RT #18168] 2533. [doc] ARM: document @ (at-sign). [RT #17144] Modified: projects/camlock/contrib/bind9/COPYRIGHT ============================================================================== --- projects/camlock/contrib/bind9/COPYRIGHT Wed Aug 7 11:34:20 2013 (r254058) +++ projects/camlock/contrib/bind9/COPYRIGHT Wed Aug 7 11:45:36 2013 (r254059) @@ -1,4 +1,4 @@ -Copyright (C) 2004-2012 Internet Systems Consortium, Inc. ("ISC") +Copyright (C) 2004-2013 Internet Systems Consortium, Inc. ("ISC") Copyright (C) 1996-2003 Internet Software Consortium. Permission to use, copy, modify, and/or distribute this software for any Modified: projects/camlock/contrib/bind9/FAQ ============================================================================== --- projects/camlock/contrib/bind9/FAQ Wed Aug 7 11:34:20 2013 (r254058) +++ projects/camlock/contrib/bind9/FAQ Wed Aug 7 11:45:36 2013 (r254059) @@ -1,6 +1,6 @@ Frequently Asked Questions about BIND 9 -Copyright © 2004-2010 Internet Systems Consortium, Inc. ("ISC") +Copyright © 2004-2010, 2013 Internet Systems Consortium, Inc. ("ISC") Copyright © 2000-2003 Internet Software Consortium. @@ -869,7 +869,7 @@ A: If you run Tiger(Mac OS 10.4) or late Copy the key statement from /etc/rndc.conf into /etc/rndc.key, e.g.: key "rndc-key" { - algorithm hmac-md5; + algorithm hmac-sha256; secret "uvceheVuqf17ZwIcTydddw=="; }; Modified: projects/camlock/contrib/bind9/FAQ.xml ============================================================================== --- projects/camlock/contrib/bind9/FAQ.xml Wed Aug 7 11:34:20 2013 (r254058) +++ projects/camlock/contrib/bind9/FAQ.xml Wed Aug 7 11:45:36 2013 (r254059) @@ -1,7 +1,7 @@