Skip site navigation (1)Skip section navigation (2)
Date:      Wed, 26 Jun 2019 14:24:40 +0000 (UTC)
From:      Emmanuel Vadot <manu@FreeBSD.org>
To:        ports-committers@freebsd.org, svn-ports-all@freebsd.org, svn-ports-head@freebsd.org
Subject:   svn commit: r505159 - in head/devel: . nextpnr
Message-ID:  <201906261424.x5QEOeWQ082945@repo.freebsd.org>

next in thread | raw e-mail | index | archive | help
Author: manu
Date: Wed Jun 26 14:24:39 2019
New Revision: 505159
URL: https://svnweb.freebsd.org/changeset/ports/505159

Log:
  devel/nextpnr: Add new port
  
  nextpnr is a place and route tools for FPGA.
  It uses configuration files from icestorm (for ICE40 FPGAs) or trellis
  (for ECP5 FPGAs).

Added:
  head/devel/nextpnr/
  head/devel/nextpnr/Makefile   (contents, props changed)
  head/devel/nextpnr/distinfo   (contents, props changed)
  head/devel/nextpnr/pkg-descr   (contents, props changed)
Modified:
  head/devel/Makefile

Modified: head/devel/Makefile
==============================================================================
--- head/devel/Makefile	Wed Jun 26 14:23:46 2019	(r505158)
+++ head/devel/Makefile	Wed Jun 26 14:24:39 2019	(r505159)
@@ -1586,6 +1586,7 @@
     SUBDIR += newfile
     SUBDIR += newt
     SUBDIR += newtonsoft-json
+    SUBDIR += nextpnr
     SUBDIR += nexus2-oss
     SUBDIR += nimble
     SUBDIR += nini

Added: head/devel/nextpnr/Makefile
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/devel/nextpnr/Makefile	Wed Jun 26 14:24:39 2019	(r505159)
@@ -0,0 +1,36 @@
+# $FreeBSD$
+
+PORTNAME=	nextpnr
+PORTVERSION=	g20190529
+CATEGORIES=	devel
+
+MAINTAINER=	manu@FreeBSD.Org
+COMMENT=	Portable FPGA place and route tool
+
+LICENSE=	ISCL
+LICENSE_FILE=	${WRKSRC}/COPYING
+
+BUILD_DEPENDS=	${LOCALBASE}/share/trellis/database:devel/trellis \
+		${LOCALBASE}/share/icebox:devel/icestorm
+LIB_DEPENDS=	libboost_thread.so:devel/boost-libs \
+		libboost_filesystem.so:devel/boost-libs \
+		libboost_program_options.so:devel/boost-libs \
+		${PY_BOOST}
+
+USES=		cmake qt:5 eigen:3 python:3.5+ gl
+
+USE_QT=		buildtools_build core gui opengl qmake_build widgets
+USE_GL=		gl
+
+USE_GITHUB=	yes
+GH_ACCOUNT=	YosysHQ
+GH_TAGNAME=	150004e3
+
+BINARY_ALIAS=	python3=${PYTHON_CMD}
+
+CMAKE_ARGS=	-DARCH="ice40;ecp5" -DTRELLIS_ROOT=${LOCALBASE}/share/trellis/
+
+PLIST_FILES=	bin/nextpnr-ecp5 \
+		bin/nextpnr-ice40
+
+.include <bsd.port.mk>

Added: head/devel/nextpnr/distinfo
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/devel/nextpnr/distinfo	Wed Jun 26 14:24:39 2019	(r505159)
@@ -0,0 +1,3 @@
+TIMESTAMP = 1560153617
+SHA256 (YosysHQ-nextpnr-g20190529-150004e3_GH0.tar.gz) = 10d04e5ae05144f3191d5a11219d7f8252d7cd52de7ac022b67020fe7797693f
+SIZE (YosysHQ-nextpnr-g20190529-150004e3_GH0.tar.gz) = 3191173

Added: head/devel/nextpnr/pkg-descr
==============================================================================
--- /dev/null	00:00:00 1970	(empty, because file is newly added)
+++ head/devel/nextpnr/pkg-descr	Wed Jun 26 14:24:39 2019	(r505159)
@@ -0,0 +1,8 @@
+nextpnr aims to be a vendor neutral, timing driven,
+FOSS FPGA place and route tool.
+
+Currently nextpnr supports:
+
+Lattice iCE40 devices supported by Project IceStorm
+(experimental) Lattice ECP5 devices supported by Project Trellis
+(experimental) a "generic" back-end for user-defined architectures



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?201906261424.x5QEOeWQ082945>