From owner-svn-src-projects@FreeBSD.ORG Thu Mar 14 22:04:54 2013 Return-Path: Delivered-To: svn-src-projects@freebsd.org Received: from mx1.freebsd.org (mx1.FreeBSD.org [8.8.178.115]) by hub.freebsd.org (Postfix) with ESMTP id 1D099BFE; Thu, 14 Mar 2013 22:04:54 +0000 (UTC) (envelope-from sjg@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) by mx1.freebsd.org (Postfix) with ESMTP id 0658EE61; Thu, 14 Mar 2013 22:04:54 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.6/8.14.6) with ESMTP id r2EM4rBW037841; Thu, 14 Mar 2013 22:04:53 GMT (envelope-from sjg@svn.freebsd.org) Received: (from sjg@localhost) by svn.freebsd.org (8.14.6/8.14.5/Submit) id r2EM4rIC037840; Thu, 14 Mar 2013 22:04:53 GMT (envelope-from sjg@svn.freebsd.org) Message-Id: <201303142204.r2EM4rIC037840@svn.freebsd.org> From: "Simon J. Gerraty" Date: Thu, 14 Mar 2013 22:04:53 +0000 (UTC) To: src-committers@freebsd.org, svn-src-projects@freebsd.org Subject: svn commit: r248289 - projects/bmake/share/mk X-SVN-Group: projects MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-projects@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: "SVN commit messages for the src " projects" tree" List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Thu, 14 Mar 2013 22:04:54 -0000 Author: sjg Date: Thu Mar 14 22:04:53 2013 New Revision: 248289 URL: http://svnweb.freebsd.org/changeset/base/248289 Log: We want meta.stage.mk when cleaning Modified: projects/bmake/share/mk/bsd.sys.mk Modified: projects/bmake/share/mk/bsd.sys.mk ============================================================================== --- projects/bmake/share/mk/bsd.sys.mk Thu Mar 14 22:04:25 2013 (r248288) +++ projects/bmake/share/mk/bsd.sys.mk Thu Mar 14 22:04:53 2013 (r248289) @@ -148,7 +148,7 @@ PHONY_NOTMAIN = afterdepend afterinstall .endif .if ${MK_STAGING} != "no" -.if defined(_SKIP_BUILD) || !make(all) +.if defined(_SKIP_BUILD) || (!make(all) && !make(clean*)) staging stage_libs stage_files stage_as stage_links stage_symlinks: .else # allow targets like beforeinstall to be leveraged