Skip site navigation (1)Skip section navigation (2)
Date:      Sun, 5 Jan 2020 08:00:43 +0000 (UTC)
From:      Yuri Victorovich <yuri@FreeBSD.org>
To:        ports-committers@freebsd.org, svn-ports-all@freebsd.org, svn-ports-head@freebsd.org
Subject:   svn commit: r522113 - head/cad/verilator
Message-ID:  <202001050800.00580hvP087257@repo.freebsd.org>

next in thread | raw e-mail | index | archive | help
Author: yuri
Date: Sun Jan  5 08:00:43 2020
New Revision: 522113
URL: https://svnweb.freebsd.org/changeset/ports/522113

Log:
  cad/verilator: Update 4.020 -> 4.024
  
  PR:		243107
  Approved by:	kevinz5000@gmail.com (maintainer)

Modified:
  head/cad/verilator/Makefile
  head/cad/verilator/distinfo
  head/cad/verilator/pkg-plist

Modified: head/cad/verilator/Makefile
==============================================================================
--- head/cad/verilator/Makefile	Sun Jan  5 06:00:05 2020	(r522112)
+++ head/cad/verilator/Makefile	Sun Jan  5 08:00:43 2020	(r522113)
@@ -1,7 +1,7 @@
 # $FreeBSD$
 
 PORTNAME=	verilator
-DISTVERSION=	4.020
+DISTVERSION=	4.024
 CATEGORIES=	cad
 MASTER_SITES=	https://www.veripool.org/ftp/
 

Modified: head/cad/verilator/distinfo
==============================================================================
--- head/cad/verilator/distinfo	Sun Jan  5 06:00:05 2020	(r522112)
+++ head/cad/verilator/distinfo	Sun Jan  5 08:00:43 2020	(r522113)
@@ -1,3 +1,3 @@
-TIMESTAMP = 1571513048
-SHA256 (verilator-4.020.tgz) = abd79fc2a54cab9da33dfccd669bda3baa71e79060abec17517f0b7374dbc31a
-SIZE (verilator-4.020.tgz) = 2355177
+TIMESTAMP = 1578206387
+SHA256 (verilator-4.024.tgz) = dab91beaa85293564cf0a931f847f7a6cd4ff30b0c11edd1957a9ab1db57b25a
+SIZE (verilator-4.024.tgz) = 2377845

Modified: head/cad/verilator/pkg-plist
==============================================================================
--- head/cad/verilator/pkg-plist	Sun Jan  5 06:00:05 2020	(r522112)
+++ head/cad/verilator/pkg-plist	Sun Jan  5 08:00:43 2020	(r522113)
@@ -9,24 +9,38 @@ man/man1/verilator_coverage.1.gz
 man/man1/verilator_gantt.1.gz
 man/man1/verilator_profcfunc.1.gz
 %%DATADIR%%/bin/verilator_includer
-%%DATADIR%%/examples/hello_world_c/Makefile
-%%DATADIR%%/examples/hello_world_c/sim_main.cpp
-%%DATADIR%%/examples/hello_world_c/top.v
-%%DATADIR%%/examples/hello_world_sc/Makefile
-%%DATADIR%%/examples/hello_world_sc/sc_main.cpp
-%%DATADIR%%/examples/hello_world_sc/top.v
-%%DATADIR%%/examples/tracing_c/Makefile
-%%DATADIR%%/examples/tracing_c/Makefile_obj
-%%DATADIR%%/examples/tracing_c/input.vc
-%%DATADIR%%/examples/tracing_c/sim_main.cpp
-%%DATADIR%%/examples/tracing_c/sub.v
-%%DATADIR%%/examples/tracing_c/top.v
-%%DATADIR%%/examples/tracing_sc/Makefile
-%%DATADIR%%/examples/tracing_sc/Makefile_obj
-%%DATADIR%%/examples/tracing_sc/input.vc
-%%DATADIR%%/examples/tracing_sc/sc_main.cpp
-%%DATADIR%%/examples/tracing_sc/sub.v
-%%DATADIR%%/examples/tracing_sc/top.v
+%%DATADIR%%/examples/cmake_hello_c/CMakeLists.txt
+%%DATADIR%%/examples/cmake_hello_c/Makefile
+%%DATADIR%%/examples/cmake_hello_sc/CMakeLists.txt
+%%DATADIR%%/examples/cmake_hello_sc/Makefile
+%%DATADIR%%/examples/cmake_protect_lib/CMakeLists.txt
+%%DATADIR%%/examples/cmake_protect_lib/Makefile
+%%DATADIR%%/examples/cmake_tracing_c/CMakeLists.txt
+%%DATADIR%%/examples/cmake_tracing_c/Makefile
+%%DATADIR%%/examples/cmake_tracing_sc/CMakeLists.txt
+%%DATADIR%%/examples/cmake_tracing_sc/Makefile
+%%DATADIR%%/examples/make_hello_c/Makefile
+%%DATADIR%%/examples/make_hello_c/sim_main.cpp
+%%DATADIR%%/examples/make_hello_c/top.v
+%%DATADIR%%/examples/make_hello_sc/Makefile
+%%DATADIR%%/examples/make_hello_sc/sc_main.cpp
+%%DATADIR%%/examples/make_hello_sc/top.v
+%%DATADIR%%/examples/make_protect_lib/Makefile
+%%DATADIR%%/examples/make_protect_lib/secret_impl.v
+%%DATADIR%%/examples/make_protect_lib/sim_main.cpp
+%%DATADIR%%/examples/make_protect_lib/top.v
+%%DATADIR%%/examples/make_tracing_c/Makefile
+%%DATADIR%%/examples/make_tracing_c/Makefile_obj
+%%DATADIR%%/examples/make_tracing_c/input.vc
+%%DATADIR%%/examples/make_tracing_c/sim_main.cpp
+%%DATADIR%%/examples/make_tracing_c/sub.v
+%%DATADIR%%/examples/make_tracing_c/top.v
+%%DATADIR%%/examples/make_tracing_sc/Makefile
+%%DATADIR%%/examples/make_tracing_sc/Makefile_obj
+%%DATADIR%%/examples/make_tracing_sc/input.vc
+%%DATADIR%%/examples/make_tracing_sc/sc_main.cpp
+%%DATADIR%%/examples/make_tracing_sc/sub.v
+%%DATADIR%%/examples/make_tracing_sc/top.v
 %%DATADIR%%/include/gtkwave/fastlz.c
 %%DATADIR%%/include/gtkwave/fastlz.h
 %%DATADIR%%/include/gtkwave/fst_config.h
@@ -67,3 +81,5 @@ man/man1/verilator_profcfunc.1.gz
 %%DATADIR%%/include/verilatedos.h
 %%DATADIR%%/include/vltstd/svdpi.h
 %%DATADIR%%/include/vltstd/vpi_user.h
+%%DATADIR%%/verilator-config-version.cmake
+%%DATADIR%%/verilator-config.cmake



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?202001050800.00580hvP087257>