From owner-svn-src-head@FreeBSD.ORG Fri Jul 26 02:00:08 2013 Return-Path: Delivered-To: svn-src-head@freebsd.org Received: from mx1.freebsd.org (mx1.freebsd.org [8.8.178.115]) (using TLSv1 with cipher ADH-AES256-SHA (256/256 bits)) (No client certificate requested) by hub.freebsd.org (Postfix) with ESMTP id 351FE201; Fri, 26 Jul 2013 02:00:08 +0000 (UTC) (envelope-from zont@FreeBSD.org) Received: from svn.freebsd.org (svn.freebsd.org [IPv6:2001:1900:2254:2068::e6a:0]) (using TLSv1.2 with cipher ECDHE-RSA-AES256-GCM-SHA384 (256/256 bits)) (No client certificate requested) by mx1.freebsd.org (Postfix) with ESMTPS id 096992BC5; Fri, 26 Jul 2013 02:00:08 +0000 (UTC) Received: from svn.freebsd.org ([127.0.1.70]) by svn.freebsd.org (8.14.7/8.14.7) with ESMTP id r6Q207eP015228; Fri, 26 Jul 2013 02:00:07 GMT (envelope-from zont@svn.freebsd.org) Received: (from zont@localhost) by svn.freebsd.org (8.14.7/8.14.5/Submit) id r6Q207cB015223; Fri, 26 Jul 2013 02:00:07 GMT (envelope-from zont@svn.freebsd.org) Message-Id: <201307260200.r6Q207cB015223@svn.freebsd.org> From: Andrey Zonov Date: Fri, 26 Jul 2013 02:00:07 +0000 (UTC) To: src-committers@freebsd.org, svn-src-all@freebsd.org, svn-src-head@freebsd.org Subject: svn commit: r253662 - in head: lib/libc/gen sys/vm tools/tools/sysdoc X-SVN-Group: head MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit X-BeenThere: svn-src-head@freebsd.org X-Mailman-Version: 2.1.14 Precedence: list List-Id: SVN commit messages for the src tree for head/-current List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Fri, 26 Jul 2013 02:00:08 -0000 Author: zont Date: Fri Jul 26 02:00:06 2013 New Revision: 253662 URL: http://svnweb.freebsd.org/changeset/base/253662 Log: Remove define and documentation for vm_pageout_algorithm missed in r253587 Modified: head/lib/libc/gen/sysctl.3 head/sys/vm/vm_param.h head/tools/tools/sysdoc/tunables.mdoc Modified: head/lib/libc/gen/sysctl.3 ============================================================================== --- head/lib/libc/gen/sysctl.3 Fri Jul 26 00:28:19 2013 (r253661) +++ head/lib/libc/gen/sysctl.3 Fri Jul 26 02:00:06 2013 (r253662) @@ -735,7 +735,6 @@ privilege may change the value. .It Sy "Second level name Type Changeable" .It "VM_LOADAVG struct loadavg no" .It "VM_TOTAL struct vmtotal no" -.It "VM_PAGEOUT_ALGORITHM integer yes" .It "VM_SWAPPING_ENABLED integer maybe" .It "VM_V_CACHE_MAX integer yes" .It "VM_V_CACHE_MIN integer yes" @@ -754,9 +753,6 @@ The returned data consists of a Return the system wide virtual memory statistics. The returned data consists of a .Va struct vmtotal . -.It Li VM_PAGEOUT_ALGORITHM -0 if the statistics-based page management algorithm is in use -or 1 if the near-LRU algorithm is in use. .It Li VM_SWAPPING_ENABLED 1 if process swapping is enabled or 0 if disabled. This variable is Modified: head/sys/vm/vm_param.h ============================================================================== --- head/sys/vm/vm_param.h Fri Jul 26 00:28:19 2013 (r253661) +++ head/sys/vm/vm_param.h Fri Jul 26 02:00:06 2013 (r253662) @@ -82,9 +82,8 @@ #define VM_V_CACHE_MIN 7 /* cnt.v_cache_min */ #define VM_V_CACHE_MAX 8 /* cnt.v_cache_max */ #define VM_V_PAGEOUT_FREE_MIN 9 /* cnt.v_pageout_free_min */ -#define VM_PAGEOUT_ALGORITHM 10 /* pageout algorithm */ -#define VM_SWAPPING_ENABLED 11 /* swapping enabled */ -#define VM_MAXID 12 /* number of valid vm ids */ +#define VM_SWAPPING_ENABLED 10 /* swapping enabled */ +#define VM_MAXID 11 /* number of valid vm ids */ #define CTL_VM_NAMES { \ { 0, 0 }, \ @@ -97,7 +96,6 @@ { "v_cache_min", CTLTYPE_UINT }, \ { "v_cache_max", CTLTYPE_UINT }, \ { "v_pageout_free_min", CTLTYPE_UINT}, \ - { "pageout_algorithm", CTLTYPE_INT}, \ { "swap_enabled", CTLTYPE_INT},\ } Modified: head/tools/tools/sysdoc/tunables.mdoc ============================================================================== --- head/tools/tools/sysdoc/tunables.mdoc Fri Jul 26 00:28:19 2013 (r253661) +++ head/tools/tools/sysdoc/tunables.mdoc Fri Jul 26 02:00:06 2013 (r253662) @@ -2259,9 +2259,6 @@ Displays the number of swap devices avai to the system. This is a read-only variable. --- -vm.pageout_algorithm - ---- vm.pageout_full_stats_interval ---