Skip site navigation (1)Skip section navigation (2)
Date:      Tue, 13 Apr 2004 02:44:21 -0700 (PDT)
From:      Søren Schmidt <sos@FreeBSD.org>
To:        src-committers@FreeBSD.org, cvs-src@FreeBSD.org, cvs-all@FreeBSD.org
Subject:   cvs commit: src/sys/dev/ata ata-all.c ata-all.h ata-card.c ata-cbus.c ata-chipset.c ata-disk.c ata-dma.c ata-isa.c ata-lowlevel.c ata-pci.c ata-pci.h ata-queue.c
Message-ID:  <200404130944.i3D9iLuh037221@repoman.freebsd.org>

next in thread | raw e-mail | index | archive | help
sos         2004/04/13 02:44:21 PDT

  FreeBSD src repository

  Modified files:
    sys/dev/ata          ata-all.c ata-all.h ata-card.c ata-cbus.c 
                         ata-chipset.c ata-disk.c ata-dma.c 
                         ata-isa.c ata-lowlevel.c ata-pci.c 
                         ata-pci.h ata-queue.c 
  Log:
  Add support for the Promise command sequencer present on all modern Promise
  controllers (PDC203** PDC206**).
  
  This also adds preliminary support for the Promise SX4/SX4000 but *only*
  as a "normal" Promise ATA controller (ATA RAID's are supported though
  but only RAID0, RAID1 and RAID0+1).
  
  This cuts off yet another 5-8% of the command overhead on promise controllers,
  making them the fastest we have ever had support for.
  
  Work is now continuing to add support for this in ATA RAID, to accellerate
  ATA RAID quite a bit on these controllers, and especially the SX4/SX4000
  series as they have quite a few tricks in there..
  
  This commit also adds a few fixes to the SATA code needed for proper support.
  
  Revision  Changes    Path
  1.208     +4 -11     src/sys/dev/ata/ata-all.c
  1.78      +10 -3     src/sys/dev/ata/ata-all.h
  1.23      +1 -0      src/sys/dev/ata/ata-card.c
  1.12      +1 -0      src/sys/dev/ata/ata-cbus.c
  1.68      +474 -141  src/sys/dev/ata/ata-chipset.c
  1.172     +11 -6     src/sys/dev/ata/ata-disk.c
  1.126     +33 -2     src/sys/dev/ata/ata-dma.c
  1.21      +1 -0      src/sys/dev/ata/ata-isa.c
  1.32      +55 -51    src/sys/dev/ata/ata-lowlevel.c
  1.78      +24 -52    src/sys/dev/ata/ata-pci.c
  1.29      +8 -2      src/sys/dev/ata/ata-pci.h
  1.26      +3 -2      src/sys/dev/ata/ata-queue.c



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?200404130944.i3D9iLuh037221>