From owner-cvs-all@FreeBSD.ORG Wed Aug 22 13:22:13 2007 Return-Path: Delivered-To: cvs-all@FreeBSD.org Received: from mx1.freebsd.org (mx1.freebsd.org [IPv6:2001:4f8:fff6::34]) by hub.freebsd.org (Postfix) with ESMTP id 4A46016A418; Wed, 22 Aug 2007 13:22:13 +0000 (UTC) (envelope-from gallatin@FreeBSD.org) Received: from repoman.freebsd.org (repoman.freebsd.org [IPv6:2001:4f8:fff6::29]) by mx1.freebsd.org (Postfix) with ESMTP id 3940E13C46E; Wed, 22 Aug 2007 13:22:13 +0000 (UTC) (envelope-from gallatin@FreeBSD.org) Received: from repoman.freebsd.org (localhost [127.0.0.1]) by repoman.freebsd.org (8.14.1/8.14.1) with ESMTP id l7MDMD3b017230; Wed, 22 Aug 2007 13:22:13 GMT (envelope-from gallatin@repoman.freebsd.org) Received: (from gallatin@localhost) by repoman.freebsd.org (8.14.1/8.14.1/Submit) id l7MDMDVr017229; Wed, 22 Aug 2007 13:22:13 GMT (envelope-from gallatin) Message-Id: <200708221322.l7MDMDVr017229@repoman.freebsd.org> From: Andrew Gallatin Date: Wed, 22 Aug 2007 13:22:12 +0000 (UTC) To: src-committers@FreeBSD.org, cvs-src@FreeBSD.org, cvs-all@FreeBSD.org X-FreeBSD-CVS-Branch: HEAD Cc: Subject: cvs commit: src/sys/dev/mxge eth_z8e.h ethp_z8e.h if_mxge.c if_mxge_var.h mxge_mcp.h X-BeenThere: cvs-all@freebsd.org X-Mailman-Version: 2.1.5 Precedence: list List-Id: CVS commit messages for the entire tree List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Wed, 22 Aug 2007 13:22:13 -0000 gallatin 2007-08-22 13:22:12 UTC FreeBSD src repository Modified files: sys/dev/mxge eth_z8e.h ethp_z8e.h if_mxge.c if_mxge_var.h mxge_mcp.h Log: - Fix a bug which could cause a panic when enabling LRO on an down mxge interface - Fix a bug where mxge reported the link state as active when it wasn't (after ifconfig down). - Prevent spurious watchdog resets when link partner is not consuming - Add support for CX4 and popular XFP media detection - Update the firmware and associated header files to 1.4.25 Approved by: re (kensmith) Revision Changes Path 1.2 +12731 -12338 src/sys/dev/mxge/eth_z8e.h 1.2 +12807 -12399 src/sys/dev/mxge/ethp_z8e.h 1.41 +174 -15 src/sys/dev/mxge/if_mxge.c 1.15 +10 -0 src/sys/dev/mxge/if_mxge_var.h 1.8 +91 -3 src/sys/dev/mxge/mxge_mcp.h