Skip site navigation (1)Skip section navigation (2)
Date:      Fri, 15 Jun 2001 00:29:18 -0700 (PDT)
From:      Peter Wemm <peter@FreeBSD.org>
To:        cvs-committers@FreeBSD.org, cvs-all@FreeBSD.org
Subject:   cvs commit: src/sys/sys systm.h src/sys/kern kern_environment.c
Message-ID:  <200106150729.f5F7TI013159@freefall.freebsd.org>

next in thread | raw e-mail | index | archive | help
peter       2001/06/15 00:29:18 PDT

  Modified files:
    sys/sys              systm.h 
    sys/kern             kern_environment.c 
  Log:
  Fix some warnings in kern_environment.c.  Make the getenv*() family
  take a const 'name', since they dont modify anything.
  159: warning: passing arg 1 of `getenv_int' discards qualifiers...
  167: warning: passing arg 1 of `getenv' discards qualifiers from pointer..
  
  Revision  Changes    Path
  1.141     +4 -4      src/sys/sys/systm.h
  1.14      +4 -4      src/sys/kern/kern_environment.c


To Unsubscribe: send mail to majordomo@FreeBSD.org
with "unsubscribe cvs-all" in the body of the message




Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?200106150729.f5F7TI013159>