Skip site navigation (1)Skip section navigation (2)
Date:      Tue,  9 Aug 2005 16:01:45 -0300
From:      Carlos A M dos Santos <casantos@gama-rt.urisan.tche.br>
To:        FreeBSD-gnats-submit@FreeBSD.org
Subject:   ports/84715: Upgrade ports/cad/alliance to version 5.0.20050217
Message-ID:  <200508091843.j79IhP328363@gama-rt.urisan.tche.br>
Resent-Message-ID: <200508092030.j79KUOar074511@freefall.freebsd.org>

next in thread | raw e-mail | index | archive | help

>Number:         84715
>Category:       ports
>Synopsis:       Upgrade ports/cad/alliance to version 5.0.20050217
>Confidential:   no
>Severity:       non-critical
>Priority:       medium
>Responsible:    freebsd-ports-bugs
>State:          open
>Quarter:        
>Keywords:       
>Date-Required:
>Class:          update
>Submitter-Id:   current-users
>Arrival-Date:   Tue Aug 09 20:30:23 GMT 2005
>Closed-Date:
>Last-Modified:
>Originator:     Carlos A M dos Santos
>Release:        FreeBSD 5.4-RELEASE i386
>Organization:
Universidade Regional Integrada
>Environment:
System: FreeBSD sophia.inf.urisan 5.4-RELEASE FreeBSD 5.4-RELEASE #1: Mon May 16 01:34:41 BRT 2005 root@avatar.casantos.org:/share/FreeBSD/5.4-RELEASE/src/sys/i386/compile/A7N266-VM i386
>Description:
	Upgrade ports/cad/alliance to version 5.0.20050217
>How-To-Repeat:
	Not aplicable
>Fix:
	Apply the attached patch

--- cad::aliance.patch begins here ---
diff -durP ports/cad/alliance/Makefile ports/cad/alliance/Makefile
--- ports.orig/cad/alliance/Makefile	Fri Sep 17 22:09:26 2004
+++ ports/cad/alliance/Makefile	Thu May 26 14:37:50 2005
@@ -5,12 +5,12 @@
 # $FreeBSD: ports/cad/alliance/Makefile,v 1.3 2004/09/17 22:40:40 kris Exp $
 
 PORTNAME=	alliance
-PORTVERSION=	5.0.20031209
+PORTVERSION=	5.0.20050217
 CATEGORIES=	cad
 MASTER_SITES=	http://www-asim.lip6.fr/pub/alliance/distribution/5.0/ \
 		${MASTER_SITE_LOCAL}
 MASTER_SITE_SUBDIR=	hrs
-DISTNAME=	${PORTNAME}-5.0-20031209
+DISTNAME=	${PORTNAME}-5.0-20050217
 
 MAINTAINER=	hrs@FreeBSD.org
 COMMENT=	A complete set of CAD tools and libraries for VLSI design
@@ -35,10 +35,6 @@
 
 .include <bsd.port.pre.mk>
 
-.if ${OSVERSION} >= 502126
-BROKEN=		"Does not compile on FreeBSD >= 5.x"
-.endif
-
 ALLIANCE_TOP=	${PREFIX}/alliance
 SRCDIRLIST!=	${CAT} ${FILESDIR}/srcdirs.list
 CFLAGS+=	${SRCDIRLIST:S,^,-I${WRKSRC}/,}
@@ -46,61 +42,58 @@
 CONFIGURE_ENV+=	CFLAGS="${CFLAGS}" CPPFLAGS="${CFLAGS}" LDFLAGS="${LDFLAGS}"
 MAKE_ENV+=	CFLAGS="${CFLAGS}" CPPFLAGS="${CFLAGS}" LDFLAGS="${LDFLAGS}"
 
-MAN1=	MBK_CATAL_NAME.1 MBK_CATA_LIB.1 MBK_FILTER_SFX.1 \
-	MBK_IN_FILTER.1 MBK_IN_LO.1 MBK_IN_PH.1 MBK_OUT_FILTER.1 \
-	MBK_OUT_LO.1 MBK_OUT_PH.1 MBK_SEPAR.1 MBK_TRACE_GETENV.1 \
-	MBK_VDD.1 MBK_VSS.1 MBK_CK.1 MBK_WORK_LIB.1 \
-	aut.1 abl.1 bdd.1 log.1 fsm.1 druc.1 alcbanner.1 \
-	asimut.1 attila.1 boog.1 boom.1 alc_bug_report.1 \
-	alc_origin.1 dreal.1 exp.1 flatbeh.1 flatlo.1 flatph.1 \
-	fmi.1 fsp.1 genlib.1 genpat.1 graal.1 k2f.1 l2p.1 loon.1 \
-	lvx.1 cougar.1 m2e.1 moka.1 nero.1 ocp.1 proof.1 ring.1 \
-	s2r.1 scapin.1 syf.1 vasy.1 x2y.1 xpat.1 xsch.1
+MAN1=	MBK_CATAL_NAME.1 MBK_CATA_LIB.1 MBK_FILTER_SFX.1 MBK_IN_FILTER.1 \
+	MBK_IN_LO.1 MBK_IN_PH.1 MBK_OUT_FILTER.1 MBK_OUT_LO.1 MBK_OUT_PH.1 \
+	MBK_SEPAR.1 MBK_TRACE_GETENV.1 MBK_VDD.1 MBK_VSS.1 MBK_CK.1 \
+	MBK_WORK_LIB.1 aut.1 abl.1 bdd.1 log.1 fsm.1 druc.1 alcbanner.1 \
+	asimut.1 attila.1 boog.1 boom.1 alc_bug_report.1 alc_origin.1 \
+	dreal.1 exp.1 flatbeh.1 flatlo.1 flatph.1 fmi.1 fsp.1 genlib.1 \
+	genpat.1 graal.1 k2f.1 l2p.1 loon.1 lvx.1 cougar.1 m2e.1 moka.1 \
+	nero.1 ocp.1 pat2spi.1 proof.1 ring.1 s2r.1 scapin.1 syf.1 vasy.1 \
+	x2y.1 xpat.1 xsch.1
 
-MAN3=	addcapa.3 addchain.3 addhtitem.3 addlocon.3 addlofig.3 \
-	addloins.3 addlomodel.3 addlosig.3 addlotrs.3 addlocap.3 \
-	addlores.3 addloself.3 addnum.3 addphcon.3 addphfig.3 \
-	addphins.3 addphref.3 addphseg.3 addphvia.3 addptype.3 \
-	getlocap.3 getlores.3 getloself.3 setlocap.3 setlores.3 \
-	setloself.3 alliancebanner.3 append.3 bigvia.3 chain.3 \
-	checkloconorder.3 concatname.3 defab.3 delchain.3 delht.3 \
-	delhtitem.3 dellocon.3 dellofig.3 delloins.3 dellosig.3 \
-	dellotrs.3 dellocap.3 dellores.3 delloself.3 delnum.3 \
-	delphcon.3 delphfig.3 delphins.3 delphref.3 delphseg.3 \
-	delphvia.3 delptype.3 downstr.3 filepath.3 flattenlofig.3 \
-	flattenphfig.3 freechain.3 freelomodel.3 freenum.3 freeptype.3 \
-	gethtitem.3 getlocon.3 getlofig.3 getloins.3 getlomodel.3 \
-	getlosig.3 getphcon.3 getphfig.3 getphins.3 getphref.3 getptype.3 \
-	getsigname.3 mbksetautoackchld.3 givelosig.3 guessextdir.3 \
-	incatalog.3 incatalogdelete.3 incatalogfeed.3 incataloggds.3 \
-	instanceface.3 instr.3 isvdd.3 isvss.3 isck.3 loadlofig.3 \
-	loadphfig.3 locon.3 lofig.3 lofigchain.3 log.3 loins.3 losig.3 \
-	lotrs.3 locap.3 lores.3 loself.3 mbk.3 mbkalloc.3 mbkenv.3 \
-	mbkps.3 mbkfopen.3 mbkfree.3 mbkgetenv.3 mbkrealloc.3 mbkunlink.3 \
-	mbkwaitpid.3 mlodebug.3 mphdebug.3 namealloc.3 namefind.3 \
-	nameindex.3 naturalstrcmp.3 phcon.3 phfig.3 phins.3 phref.3 \
-	phseg.3 phvia.3 ptype.3 restorealldir.3 restoredirvbe.3 reverse.3 \
-	rflattenlofig.3 rflattenphfig.3 autresizeblock.3 savelofig.3 \
-	savephfig.3 sethtitem.3 sortlocon.3 sortlosig.3 unflattenlofig.3 \
-	upstr.3 viewht.3 viewlo.3 viewlofig.3 viewlofigcon.3 viewloins.3 \
-	viewloinscon.3 viewlosig.3 viewlotrs.3 viewlocap.3 viewlores.3 \
-	viewloself.3 viewph.3 viewphcon.3 viewphfig.3 viewphins.3 \
-	viewphref.3 viewphseg.3 beh.3 viewphvia.3 xyflat.3 autallocblock.3 \
-	autfreeheap.3 authelem.3 autallocheap.3 auth2elem.3 authtable.3 \
-	autfreeblock.3 auth2table.3 addrdsfig.3 addrdsfigrec.3 \
-	addrdsins.3 addrdsinsrec.3 addrdsrecwindow.3 allocrdsfig.3 \
-	allocrdsins.3 allocrdsrec.3 allocrdsrecwin.3 allocrdswin.3 \
-	conmbkrds.3 modelmbkrds.3 allocrdswindow.3 allocrdswinrec.3 \
-	buildrdswindow.3 delrdsfig.3 delrdsfigrec.3 delrdsins.3 \
-	delrdsinsrec.3 delrdsrecwindow.3 destroyrdswindow.3 \
-	figmbkrds.3 freerdsfig.3 freerdsins.3 freerdsrec.3 \
-	getrdsmodellist.3 insconmbkrds.3 insmbkrds.3 insrefmbkrds.3 \
-	inssegmbkrds.3 insviambkrds.3 loadrdsfig.3 loadrdsparam.3 \
-	rdsalloc.3 rdsenv.3 rdsfree.3 refmbkrds.3 roundrdsrec.3 \
-	saverdsfig.3 searchrdsfig.3 segmbkrds.3 viambkrds.3 \
-	viewrdsfig.3 viewrdsins.3 viewrdsparam.3 viewrdsrec.3 \
-	viewrdswindow.3 viewrfmcon.3 viewrfmfig.3 viewrfmins.3 \
-	viewrfmrec.3 viewrfmref.3 viewrfmseg.3 viewrfmvia.3 addablhexpr.3 \
+MAN3=	addcapa.3 addchain.3 addht.3 addhtitem.3 addlocon.3 addlofig.3 \
+	addloins.3 addlomodel.3 addlosig.3 addlotrs.3 addlocap.3 addlores.3 \
+	addloself.3 addnum.3 addphcon.3 addphfig.3 addphins.3 addphref.3 \
+	addphseg.3 addphvia.3 addptype.3 getlocap.3 getlores.3 getloself.3 \
+	setlocap.3 setlores.3 setloself.3 alliancebanner.3 append.3 \
+	bigvia.3 chain.3 checkloconorder.3 concatname.3 defab.3 delchain.3 \
+	delht.3 delhtitem.3 dellocon.3 dellofig.3 delloins.3 dellosig.3 \
+	dellotrs.3 dellocap.3 dellores.3 delloself.3 delnum.3 delphcon.3 \
+	delphfig.3 delphins.3 delphref.3 delphseg.3 delphvia.3 delptype.3 \
+	downstr.3 filepath.3 flattenlofig.3 flattenphfig.3 freechain.3 \
+	freelomodel.3 freenum.3 freeptype.3 gethtitem.3 getlocon.3 \
+	getlofig.3 getloins.3 getlomodel.3 getlosig.3 getphcon.3 getphfig.3 \
+	getphins.3 getphref.3 getptype.3 getsigname.3 givelosig.3 \
+	guessextdir.3 incatalog.3 incatalogdelete.3 incatalogfeed.3 \
+	incataloggds.3 instanceface.3 instr.3 isvdd.3 isvss.3 isck.3 \
+	loadlofig.3 loadphfig.3 locon.3 lofig.3 lofigchain.3 log.3 loins.3 \
+	losig.3 lotrs.3 locap.3 lores.3 loself.3 mbk.3 mbkalloc.3 mbkenv.3 \
+	mbkps.3 mbkfopen.3 mbkfree.3 mbkgetenv.3 mbkrealloc.3 \
+	mbksetautoackchld.3 mbkunlink.3 mbkwaitpid.3 mlodebug.3 mphdebug.3 \
+	namealloc.3 namefind.3 nameindex.3 naturalstrcmp.3 phcon.3 phfig.3 \
+	phins.3 phref.3 phseg.3 phvia.3 ptype.3 restorealldir.3 \
+	restoredirvbe.3 reverse.3 rflattenlofig.3 rflattenphfig.3 \
+	savelofig.3 savephfig.3 sethtitem.3 sortlocon.3 sortlosig.3 \
+	unflattenlofig.3 upstr.3 viewht.3 viewlo.3 viewlofig.3 \
+	viewlofigcon.3 viewloins.3 viewloinscon.3 viewlosig.3 viewlotrs.3 \
+	viewlocap.3 viewlores.3 viewloself.3 viewph.3 viewphcon.3 \
+	viewphfig.3 viewphins.3 viewphref.3 viewphseg.3 beh.3 viewphvia.3 \
+	xyflat.3 autallocblock.3 autfreeheap.3 authelem.3 autallocheap.3 \
+	auth2elem.3 authtable.3 autfreeblock.3 auth2table.3 \
+	autresizeblock.3 addrdsfig.3 addrdsfigrec.3 addrdsins.3 \
+	addrdsinsrec.3 addrdsrecwindow.3 allocrdsfig.3 allocrdsins.3 \
+	allocrdsrec.3 allocrdsrecwin.3 allocrdswin.3 conmbkrds.3 \
+	allocrdswindow.3 allocrdswinrec.3 buildrdswindow.3 delrdsfig.3 \
+	delrdsfigrec.3 delrdsins.3 delrdsinsrec.3 delrdsrecwindow.3 \
+	destroyrdswindow.3 figmbkrds.3 freerdsfig.3 freerdsins.3 \
+	freerdsrec.3 getrdsmodellist.3 insconmbkrds.3 insmbkrds.3 \
+	insrefmbkrds.3 inssegmbkrds.3 insviambkrds.3 loadrdsfig.3 \
+	loadrdsparam.3 modelmbkrds.3 rdsalloc.3 rdsenv.3 rdsfree.3 \
+	refmbkrds.3 roundrdsrec.3 saverdsfig.3 searchrdsfig.3 segmbkrds.3 \
+	viambkrds.3 viewrdsfig.3 viewrdsins.3 viewrdsparam.3 viewrdsrec.3 \
+	viewrdswindow.3 viewrfmcon.3 viewrfmfig.3 viewrfmins.3 viewrfmrec.3 \
+	viewrfmref.3 viewrfmseg.3 viewrfmvia.3 addablhexpr.3 \
 	getablexprlength.3 mapablexpr.3 addablqexpr.3 getablexprmax.3 \
 	mapabloperexpr.3 createablatom.3 getablexprmin.3 polarablexpr.3 \
 	createablbinexpr.3 getablexprnum.3 polardupablexpr.3 \
@@ -111,54 +104,53 @@
 	delablexpr.3 isablbinaryoper.3 unflatablexpr.3 delablexprnum.3 \
 	isablequalexpr.3 vhdlablname.3 devablxorexpr.3 isablnameinexpr.3 \
 	vhdlablvector.3 devdupablxorexpr.3 isabloperinexpr.3 viewablexpr.3 \
-	dupablexpr.3 isablsimilarexpr.3 beh_delbeout.3 ARRAY.3 \
-	viewablexprfile.3 flatablexpr.3 isablunaryoper.3 viewablexprstr.3 \
-	freeablexpr.3 mapablanyexpr.3 getablexprdepth.3 mapableveryexpr.3 \
-	addbddassoc.3 addbddcircuitabl.3 addbddcircuitin.3 \
-	addbddcircuitout.3 addbddnode.3 addbddnodeassoc.3 addbddnodelist.3 \
-	addbddvar.3 addbddvarafter.3 addbddvarbefore.3 addbddvarfirst.3 \
-	addbddvarlast.3 applybddnode.3 applybddnodeite.3 applybddnodelist.3 \
+	dupablexpr.3 isablsimilarexpr.3 viewablexprfile.3 flatablexpr.3 \
+	isablunaryoper.3 viewablexprstr.3 freeablexpr.3 mapablanyexpr.3 \
+	getablexprdepth.3 mapableveryexpr.3 addbddassoc.3 \
+	addbddcircuitabl.3 addbddcircuitin.3 addbddcircuitout.3 \
+	addbddnode.3 addbddnodeassoc.3 addbddnodelist.3 addbddvar.3 \
+	addbddvarafter.3 addbddvarbefore.3 addbddvarfirst.3 addbddvarlast.3 \
+	applybddnode.3 applybddnodeite.3 applybddnodelist.3 \
 	applybddnodenot.3 applybddnodeterm.3 clearbddsystemref.3 \
 	clearbddsystemrefext.3 clearbddsystemrefint.3 cofactorbddnode.3 \
 	composebddnode.3 convertbddcircuitabl.3 convertbddcircuitsumabl.3 \
 	convertbddindexabl.3 convertbddmuxabl.3 convertbddnodeabl.3 \
-	convertbddnodesumabl.3 addht.3 createbddcircuit.3 createbddsystem.3 \
+	convertbddnodesumabl.3 ARRAY.3 createbddcircuit.3 createbddsystem.3 \
 	decbddrefext.3 decbddrefint.3 delbddassoc.3 delbddcircuitout.3 \
 	delbddnode.3 delbddnodeassoc.3 delbddnodelist.3 destroybddassoc.3 \
 	destroybddcircuit.3 destroybddsystem.3 existbddnodeassocoff.3 \
 	existbddnodeassocon.3 garbagebddsystem.3 getbddnodenum.3 \
-	getbddnodesize.3 getbddnodesupport.3 resetBdd.3 \
-	getbddvarbyindex.3 getbddvarindex.3 getbddvarnode.3 \
-	getbddvarnodebyindex.3 implybddnode.3 incbddrefext.3 \
-	incbddrefint.3 intersectbddnode.3 isbddvarinsupport.3 \
-	markbddnode.3 relprodbddnodeassoc.3 reorderbddsystemdynamic.3 \
-	reorderbddsystemsimple.3 reorderbddsystemtop.3 \
-	reorderbddsystemwindow.3 resetbddcircuit.3 resetbddsystem.3 \
-	markBdd.3 restrictbddnode.3 satisfybddnode.3 searchbddcircuitin.3 \
-	searchbddcircuitout.3 setbddrefext.3 simpbddnodedcoff.3 \
-	simpbddnodedcon.3 substbddnodeassoc.3 swapbddvar.3 \
-	testbddcircuit.3 unmarkbddnode.3 unsetbddrefext.3 \
-	viewbddcircuit.3 viewbddnode.3 viewbddsystem.3 \
-	viewbddsysteminfo.3 composeBdd.3 initializeBdd.3 \
-	ablToBddCct.3 constraintBdd.3 markAllBdd.3 simplifDcOneBdd.3 \
-	addListBdd.3 createNodeTermBdd.3 simplifDcZeroBdd.3 \
-	applyBdd.3 destroyBdd.3 notBdd.3 supportChain_listBdd.3 \
-	applyBinBdd.3 displayBdd.3 numberNodeAllBdd.3 upVarBdd.3 \
-	bddToAblCct.3 gcNodeBdd.3 numberNodeBdd.3 libpat.3 \
-	beh_addbiabl.3 beh_delbereg.3 beh_frebemsg.3 beh_rmvbeaux.3 \
-	beh_addbeaux.3 beh_addbinod.3 beh_delberin.3 beh_frebeout.3 \
-	beh_rmvbebus.3 beh_addbebus.3 beh_debug.3 beh_delbiabl.3 \
-	beh_frebepor.3 beh_rmvbebux.3 beh_addbebux.3 beh_delbeaux.3 \
-	beh_delbinod.3 beh_frebereg.3 beh_rmvbefig.3 beh_addbefig.3 \
-	beh_delbebus.3 beh_depend.3 beh_freberin.3 beh_rmvbegen.3 \
-	beh_addbegen.3 beh_delbebux.3 beh_error.3 beh_frebiabl.3 \
-	beh_rmvbemsg.3 beh_addbemsg.3 beh_delbefig.3 beh_frebeaux.3 \
-	beh_frebinod.3 beh_rmvbeout.3 beh_addbeout.3 beh_delbegen.3 \
-	beh_frebebus.3 beh_getgenva.3 beh_rmvbepor.3 beh_addbepor.3 \
-	beh_delbemsg.3 beh_frebebux.3 beh_makbdd.3 beh_rmvbereg.3 \
-	beh_addbereg.3 beh_frebefig.3 beh_makgex.3 beh_rmvberin.3 \
-	beh_addberin.3 beh_delbepor.3 beh_frebegen.3 beh_message.3 \
-	GENLIB_BUS.3 GENLIB_COPY_UP_ALL_CON.3 GENLIB_COPY_UP_ALL_REF.3 \
+	getbddnodesize.3 getbddnodesupport.3 resetBdd.3 getbddvarbyindex.3 \
+	getbddvarindex.3 getbddvarnode.3 getbddvarnodebyindex.3 \
+	implybddnode.3 incbddrefext.3 incbddrefint.3 intersectbddnode.3 \
+	isbddvarinsupport.3 markbddnode.3 relprodbddnodeassoc.3 \
+	reorderbddsystemdynamic.3 reorderbddsystemsimple.3 \
+	reorderbddsystemtop.3 reorderbddsystemwindow.3 resetbddcircuit.3 \
+	resetbddsystem.3 markBdd.3 restrictbddnode.3 satisfybddnode.3 \
+	searchbddcircuitin.3 searchbddcircuitout.3 setbddrefext.3 \
+	simpbddnodedcoff.3 simpbddnodedcon.3 substbddnodeassoc.3 \
+	swapbddvar.3 testbddcircuit.3 unmarkbddnode.3 unsetbddrefext.3 \
+	viewbddcircuit.3 viewbddnode.3 viewbddsystem.3 viewbddsysteminfo.3 \
+	composeBdd.3 initializeBdd.3 ablToBddCct.3 constraintBdd.3 \
+	markAllBdd.3 simplifDcOneBdd.3 addListBdd.3 createNodeTermBdd.3 \
+	simplifDcZeroBdd.3 applyBdd.3 destroyBdd.3 notBdd.3 \
+	supportChain_listBdd.3 applyBinBdd.3 displayBdd.3 \
+	numberNodeAllBdd.3 upVarBdd.3 bddToAblCct.3 gcNodeBdd.3 \
+	numberNodeBdd.3 libpat.3 beh_addbiabl.3 beh_delbereg.3 \
+	beh_frebemsg.3 beh_rmvbeaux.3 beh_addbeaux.3 beh_addbinod.3 \
+	beh_delberin.3 beh_frebeout.3 beh_rmvbebus.3 beh_addbebus.3 \
+	beh_debug.3 beh_delbiabl.3 beh_frebepor.3 beh_rmvbebux.3 \
+	beh_addbebux.3 beh_delbeaux.3 beh_delbinod.3 beh_frebereg.3 \
+	beh_rmvbefig.3 beh_addbefig.3 beh_delbebus.3 beh_depend.3 \
+	beh_freberin.3 beh_rmvbegen.3 beh_addbegen.3 beh_delbebux.3 \
+	beh_error.3 beh_frebiabl.3 beh_rmvbemsg.3 beh_addbemsg.3 \
+	beh_delbefig.3 beh_frebeaux.3 beh_frebinod.3 beh_rmvbeout.3 \
+	beh_addbeout.3 beh_delbegen.3 beh_frebebus.3 beh_getgenva.3 \
+	beh_rmvbepor.3 beh_addbepor.3 beh_delbemsg.3 beh_frebebux.3 \
+	beh_makbdd.3 beh_rmvbereg.3 beh_addbereg.3 beh_delbeout.3 \
+	beh_frebefig.3 beh_makgex.3 beh_rmvberin.3 beh_addberin.3 \
+	beh_delbepor.3 beh_frebegen.3 beh_message.3 GENLIB_BUS.3 \
+	GENLIB_COPY_UP_ALL_CON.3 GENLIB_COPY_UP_ALL_REF.3 \
 	GENLIB_COPY_UP_CON.3 GENLIB_COPY_UP_CON_FACE.3 GENLIB_COPY_UP_REF.3 \
 	GENLIB_COPY_UP_SEG.3 GENLIB_DEF_AB.3 GENLIB_DEF_LOFIG.3 \
 	GENLIB_DEF_PHFIG.3 GENLIB_DEF_PHINS.3 GENLIB_DEF_PHSC.3 \
@@ -172,7 +164,7 @@
 	GENLIB_SET_LOCAP.3 GENLIB_SET_LORES.3 GENLIB_SET_LOSELF.3 \
 	GENLIB_OUTLINE.3 GENLIB_PHCON.3 GENLIB_PHREF.3 GENLIB_PHSEG.3 \
 	GENLIB_PHVIA.3 GENLIB_PLACE.3 GENLIB_PLACE_BOTTOM.3 \
-	GENLIB_PLACE_CON_REF.3 GENLIB_PLACE_LEFT.3 GENLIB_PLACE_ON.3 \
+	GENLIB_PLACE_CON_REF.3 GENLIB_PLACE_LEFT.3 INIT.3 GENLIB_PLACE_ON.3 \
 	GENLIB_PLACE_RIGHT.3 GENLIB_PLACE_SEG_REF.3 GENLIB_PLACE_TOP.3 \
 	GENLIB_PLACE_VIA_REF.3 GENLIB_REVERSE_PHCON.3 GENLIB_SAVE_LOFIG.3 \
 	GENLIB_SAVE_PHFIG.3 GENLIB_SAVE_PHSC.3 GENLIB_SC_BOTTOM.3 \
@@ -184,13 +176,13 @@
 	DPGEN_NAND2.3 DPGEN_NAND2MASK.3 DPGEN_NAND3.3 DPGEN_NAND4.3 \
 	DPGEN_NBUSE.3 DPGEN_NMUX2.3 DPGEN_NOR2.3 DPGEN_NOR2MASK.3 \
 	DPGEN_NOR3.3 DPGEN_NOR4.3 DPGEN_NUL.3 DPGEN_OR2.3 DPGEN_OR3.3 \
-	DPGEN_OR4.3 DPGEN_RF1.3 DPGEN_RF1D.3 DPGEN_ROM2.3 DPGEN_ROM4.3 \
-	DPGEN_SFF.3 DPGEN_SFFT.3 DPGEN_SHIFT.3 DPGEN_XNOR2.3 \
+	DPGEN_OR4.3 DPGEN_RF1.3 DPGEN_RF1D.3 DPGEN_FIFO.3 DPGEN_ROM2.3 \
+	DPGEN_ROM4.3 DPGEN_SFF.3 DPGEN_SFFT.3 DPGEN_SHIFT.3 DPGEN_XNOR2.3 \
 	DPGEN_XNOR2MASK.3 DPGEN_XOR2.3 AFFECT.3 DECLAR.3 DEF_GENPAT.3 \
-	GETCPAT.3 INIT.3 LABEL.3 SAVE.3
+	GETCPAT.3 LABEL.3 SAVE.3
 
-MAN5=	ap.5 catal.5 prol.5 vbe.5 vhdl.5 vst.5 al.5 spi.5 ctl.5 \
-	fsm.5 pat.5 lax.5 sxlib.5 vasy.5
+MAN5=	ap.5 catal.5 prol.5 vbe.5 vhdl.5 vst.5 al.5 spi.5 ctl.5 fsm.5 pat.5 \
+	lax.5 sxlib.5 vasy.5
 
 pre-configure:
 	@CONFIG_GUESS_DIRS=$$(${FIND} ${WRKDIR} -name config.guess -o -name config.sub \
diff -durP ports/cad/alliance/distinfo ports/cad/alliance/distinfo
--- ports.orig/cad/alliance/distinfo	Thu May 13 15:51:03 2004
+++ ports/cad/alliance/distinfo	Wed May 25 13:25:17 2005
@@ -1,2 +1,2 @@
-MD5 (alliance-5.0-20031209.tar.gz) = 33c0eda6c1d6e5f1a07029b157595c2f
-SIZE (alliance-5.0-20031209.tar.gz) = 12222938
+MD5 (alliance-5.0-20050217.tar.gz) = cea4b3aff33db5e8886ae19149e4af6a
+SIZE (alliance-5.0-20050217.tar.gz) = 8142081
diff -durP ports/cad/alliance/files/patch-all::src::XMX_motif.c ports/cad/alliance/files/patch-all::src::XMX_motif.c
--- ports.orig/cad/alliance/files/patch-all::src::XMX_motif.c	Wed Dec 31 21:00:00 1969
+++ ports/cad/alliance/files/patch-all::src::XMX_motif.c	Thu May 26 15:06:50 2005
@@ -0,0 +1,60 @@
+--- dreal/src/GMX_motif.c.orig	Mon Sep 30 13:20:09 2002
++++ dreal/src/GMX_motif.c	Thu May 26 15:04:30 2005
+@@ -92,7 +92,6 @@
+ 
+          {
+            "*.DrealMainWindow.iconName : Dreal",
+-           "*DrealMenuBar*fontList     : -*-helvetica-bold-r-*--14-*", 
+            "*foreground                : black",
+            "*background                : gray",
+            "*fontList                  : -*-helvetica-medium-r-*--12-*",
+--- graal/src/GMX_motif.c.orig	Mon Sep 30 13:20:38 2002
++++ graal/src/GMX_motif.c	Thu May 26 15:04:42 2005
+@@ -92,7 +92,6 @@
+ 
+          {
+            "*.GraalMainWindow.iconName : Graal",
+-           "*GraalMenuBar*fontList     : -*-helvetica-bold-r-*--14-*", 
+            "*foreground                : black",
+            "*background                : gray",
+            "*fontList                  : -*-helvetica-medium-r-*--12-*",
+--- xfsm/src/XMX_motif.c.orig	Mon Sep 30 13:21:40 2002
++++ xfsm/src/XMX_motif.c	Thu May 26 15:04:46 2005
+@@ -93,7 +93,6 @@
+ 
+          {
+            "*.XfsmMainWindow.iconName : Xfsm",
+-           "*XfsmMenuBar*fontList     : -*-helvetica-bold-r-*--14-*", 
+            "*foreground                : black",
+            "*background                : gray",
+            "*fontList                  : -*-helvetica-medium-r-*--12-*",
+--- xpat/src/XMX_motif.c.orig	Mon Sep 30 13:21:47 2002
++++ xpat/src/XMX_motif.c	Thu May 26 15:04:52 2005
+@@ -91,7 +91,6 @@
+ 
+          {
+            "*.XpatMainWindow.iconName : Xpat",
+-           "*XpatMenuBar*fontList     : -*-helvetica-bold-r-*--14-*", 
+            "*foreground                : black",
+            "*background                : gray",
+            "*fontList                  : -*-helvetica-medium-r-*--12-*",
+--- xsch/src/XMX_motif.c.orig	Mon Sep 30 13:21:58 2002
++++ xsch/src/XMX_motif.c	Thu May 26 15:05:02 2005
+@@ -92,7 +92,6 @@
+ 
+          {
+            "*.XschMainWindow.iconName : Xsch",
+-           "*XschMenuBar*fontList     : -*-helvetica-bold-r-*--14-*", 
+            "*foreground                : black",
+            "*background                : gray",
+            "*fontList                  : -*-helvetica-medium-r-*--12-*",
+--- xvpn/src/XMX_motif.c.orig	Mon Sep 30 13:22:14 2002
++++ xvpn/src/XMX_motif.c	Thu May 26 15:05:06 2005
+@@ -92,7 +92,6 @@
+ 
+          {
+            "*.XvpnMainWindow.iconName : Xvpn",
+-           "*XvpnMenuBar*fontList     : -*-helvetica-bold-r-*--14-*", 
+            "*foreground               : black",
+            "*background               : gray",
+            "*fontList                 : -*-helvetica-medium-r-*--12-*",
diff -durP ports/cad/alliance/files/srcdirs.list ports/cad/alliance/files/srcdirs.list
--- ports.orig/cad/alliance/files/srcdirs.list	Thu May 13 15:51:03 2004
+++ ports/cad/alliance/files/srcdirs.list	Wed May 25 16:29:58 2005
@@ -1,82 +1,84 @@
-mbk/src
-mbkal/src
-mbkap/src
-mbkedif/src
-mbkhilo/src
-mbkmg/src
-mbkspice/src
-mbkvhdl/src
-mbkvrlog/src
-mbkvti/src
-mbkvhdlg/src
-aut/src
-rds/src
-rdscif/src
-rdsgds/src
-elp/src
-abl/src
-bdd/src
-log/src
-btr/src
-vex/src
-ctl/src
-ctp/src
 abe/src
+abl/src
 abt/src
 abv/src
-fsm/src
-fks/src
-fvh/src
-ftl/src
-rtn/src
-rtd/src
-scl/src
-vbh/src
-vbl/src
-vpn/src
-vpd/src
-vvh/src
-vtl/src
-pat/src
-ppt/src
-phl/src
-druc/src
-beh/src
-bhl/src
-bvl/src
 alcban/src
 asimut/src
 attila/src
+aut/src
 b2f/src
+bdd/src
+beh/src
+bhl/src
 boog/src
 boom/src
+btr/src
+bvl/src
 cells/src
+ctl/src
+ctp/src
 dreal/src
+druc/src
+elp/src
 exp/src
+fks/src
 flatbeh/src
 flatlo/src
 flatph/src
 fmi/src
+fsm/src
 fsp/src
+ftl/src
+fvh/src
 genlib/src
 genpat/src
 graal/src
 k2f/src
 l2p/src
+log/src
 loon/src
 lvx/src
 lynx/src
 m2e/src
+mbk/src
+mbkal/src
+mbkap/src
+mbkedif/src
+mbkhilo/src
+mbkmg/src
+mbkspice/src
+mbkvhdl/src
+mbkvhdlg/src
+mbkvrlog/src
+mbkvti/src
+mips_asm/src
 mocha/src
 nero/src
 ocp/src
+pat/src
+pat2spi/src
+phl/src
+ppt/src
 proof/src
+rds/src
+rdscif/src
+rdsgds/src
 ring/src
+rtd/src
+rtn/src
 s2r/src
 scapin/src
+scl/src
 sea/src
 syf/src
 vasy/src
+vbh/src
+vbl/src
+vex/src
+vpd/src
+vpn/src
+vtl/src
+vvh/src
 x2y/src
 xfsm/src
 xpat/src
diff -durP ports/cad/alliance/pkg-plist ports/cad/alliance/pkg-plist
--- ports.orig/cad/alliance/pkg-plist	Thu May 13 15:51:03 2004
+++ ports/cad/alliance/pkg-plist	Thu May 26 14:37:48 2005
@@ -1,4 +1,4 @@
-@comment $FreeBSD: ports/cad/alliance/pkg-plist,v 1.1 2004/05/13 18:51:03 hrs Exp $
+@comment $FreeBSD$
 alliance/lib/libMph.la
 alliance/lib/libMph.a
 alliance/lib/libMpu.la
@@ -121,7 +121,6 @@
 alliance/lib/libMgn.a
 alliance/lib/libPgn.la
 alliance/lib/libPgn.a
-@dirrm alliance/lib
 alliance/include/mlu.h
 alliance/include/mlo.h
 alliance/include/mpu.h
@@ -174,7 +173,6 @@
 alliance/include/genlib.h
 alliance/include/dgn.h
 alliance/include/genpat.h
-@dirrm alliance/include
 alliance/etc/spimodel.cfg
 alliance/etc/cmos.rds
 alliance/etc/prol.elp
@@ -190,7 +188,6 @@
 alliance/etc/xpat.par
 alliance/etc/xsch.par
 alliance/etc/xvpn.par
-@unexec rmdir %D/alliance/etc > /dev/null 2>&1 || true
 alliance/bin/x2vy
 alliance/bin/druc
 alliance/bin/alcbanner
@@ -216,10 +213,12 @@
 alliance/bin/cougar
 alliance/bin/flatrds
 alliance/bin/m2e
+alliance/bin/mips_asm
 alliance/bin/moka
 alliance/bin/nero
 alliance/bin/pdv
 alliance/bin/ocp
+alliance/bin/pat2spi
 alliance/bin/proof
 alliance/bin/ring
 alliance/bin/s2r
@@ -238,61 +237,111 @@
 alliance/bin/xpat
 alliance/bin/xsch
 alliance/bin/xvpn
-@dirrm alliance/bin
-alliance/doc/html/attila/attila.html
-alliance/doc/html/attila/ref_attila.html
-alliance/doc/html/attila/man_attila.html
-@dirrm alliance/doc/html/attila
-alliance/doc/html/genlib/genlib.html
-alliance/doc/html/genlib/ref_genlib.html
-alliance/doc/html/genlib/man_genlib.html
-alliance/doc/html/genlib/man_genlib_macro.html
-alliance/doc/html/genlib/man_dpgen_inv.html
-alliance/doc/html/genlib/man_dpgen_buff.html
-alliance/doc/html/genlib/man_dpgen_nand2.html
-alliance/doc/html/genlib/man_dpgen_nand3.html
-alliance/doc/html/genlib/man_dpgen_nand4.html
-alliance/doc/html/genlib/man_dpgen_and2.html
-alliance/doc/html/genlib/man_dpgen_and3.html
-alliance/doc/html/genlib/man_dpgen_and4.html
-alliance/doc/html/genlib/man_dpgen_nor2.html
-alliance/doc/html/genlib/man_dpgen_nor3.html
-alliance/doc/html/genlib/man_dpgen_nor4.html
-alliance/doc/html/genlib/man_dpgen_or2.html
-alliance/doc/html/genlib/man_dpgen_or3.html
-alliance/doc/html/genlib/man_dpgen_or4.html
-alliance/doc/html/genlib/man_dpgen_xnor2.html
-alliance/doc/html/genlib/man_dpgen_xor2.html
-alliance/doc/html/genlib/man_dpgen_nmux2.html
-alliance/doc/html/genlib/man_dpgen_mux2.html
-alliance/doc/html/genlib/man_dpgen_nbuse.html
-alliance/doc/html/genlib/man_dpgen_buse.html
-alliance/doc/html/genlib/man_dpgen_nand2mask.html
-alliance/doc/html/genlib/man_dpgen_nor2mask.html
-alliance/doc/html/genlib/man_dpgen_xnor2mask.html
-alliance/doc/html/genlib/man_dpgen_adsb2f.html
-alliance/doc/html/genlib/man_dpgen_shift.html
-alliance/doc/html/genlib/man_dpgen_nul.html
-alliance/doc/html/genlib/man_dpgen_const.html
-alliance/doc/html/genlib/man_dpgen_rom2.html
-alliance/doc/html/genlib/man_dpgen_rom4.html
-alliance/doc/html/genlib/man_dpgen_rf1.html
-alliance/doc/html/genlib/man_dpgen_rf1d.html
-alliance/doc/html/genlib/man_dpgen_dff.html
-alliance/doc/html/genlib/man_dpgen_dfft.html
-alliance/doc/html/genlib/man_dpgen_sff.html
-alliance/doc/html/genlib/man_dpgen_sfft.html
-@dirrm alliance/doc/html/genlib
-alliance/doc/html/nero/nero.html
-alliance/doc/html/nero/ref_nero.html
-alliance/doc/html/nero/man_nero.html
-@dirrm alliance/doc/html/nero
-@dirrm alliance/doc/html
+alliance/doc/html/alliance/attila.html
+alliance/doc/html/alliance/ref_attila.html
+alliance/doc/html/alliance/man_attila.html
+alliance/doc/html/alliance/genlib.html
+alliance/doc/html/alliance/ref_genlib.html
+alliance/doc/html/alliance/man_genlib.html
+alliance/doc/html/alliance/man_genlib_macro.html
+alliance/doc/html/alliance/man_dpgen_inv.html
+alliance/doc/html/alliance/man_dpgen_buff.html
+alliance/doc/html/alliance/man_dpgen_nand2.html
+alliance/doc/html/alliance/man_dpgen_nand3.html
+alliance/doc/html/alliance/man_dpgen_nand4.html
+alliance/doc/html/alliance/man_dpgen_and2.html
+alliance/doc/html/alliance/man_dpgen_and3.html
+alliance/doc/html/alliance/man_dpgen_and4.html
+alliance/doc/html/alliance/man_dpgen_nor2.html
+alliance/doc/html/alliance/man_dpgen_nor3.html
+alliance/doc/html/alliance/man_dpgen_nor4.html
+alliance/doc/html/alliance/man_dpgen_or2.html
+alliance/doc/html/alliance/man_dpgen_or3.html
+alliance/doc/html/alliance/man_dpgen_or4.html
+alliance/doc/html/alliance/man_dpgen_xnor2.html
+alliance/doc/html/alliance/man_dpgen_xor2.html
+alliance/doc/html/alliance/man_dpgen_nmux2.html
+alliance/doc/html/alliance/man_dpgen_mux2.html
+alliance/doc/html/alliance/man_dpgen_nbuse.html
+alliance/doc/html/alliance/man_dpgen_buse.html
+alliance/doc/html/alliance/man_dpgen_nand2mask.html
+alliance/doc/html/alliance/man_dpgen_nor2mask.html
+alliance/doc/html/alliance/man_dpgen_xnor2mask.html
+alliance/doc/html/alliance/man_dpgen_adsb2f.html
+alliance/doc/html/alliance/man_dpgen_shift.html
+alliance/doc/html/alliance/man_dpgen_nul.html
+alliance/doc/html/alliance/man_dpgen_const.html
+alliance/doc/html/alliance/nero.html
+alliance/doc/html/alliance/man_dpgen_rom2.html
+alliance/doc/html/alliance/man_dpgen_rom4.html
+alliance/doc/html/alliance/man_dpgen_rf1.html
+alliance/doc/html/alliance/man_dpgen_fifo.html
+alliance/doc/html/alliance/man_dpgen_rf1d.html
+alliance/doc/html/alliance/man_dpgen_dff.html
+alliance/doc/html/alliance/man_dpgen_dfft.html
+alliance/doc/html/alliance/man_dpgen_sff.html
+alliance/doc/html/alliance/man_dpgen_sfft.html
+alliance/doc/html/alliance/ref_nero.html
+alliance/doc/html/alliance/man_nero.html
 alliance/doc/pdf/attila.pdf
 alliance/doc/pdf/genlib.pdf
 alliance/doc/pdf/nero.pdf
-@dirrm alliance/doc/pdf
-@dirrm alliance/doc
+alliance/doc/overview/datapath.gif
+alliance/doc/overview/genview.gif
+alliance/doc/overview/graal.gif
+alliance/doc/overview/Makefile
+alliance/doc/overview/na3dp.ps
+alliance/doc/overview/na3y.ps
+alliance/doc/overview/nmx2_dp.ps
+alliance/doc/overview/nmx2_y.ps
+alliance/doc/overview/overview.blg
+alliance/doc/overview/overview.tex
+alliance/doc/overview/overview.pdf
+alliance/doc/overview/stacs.gif
+alliance/doc/overview/thesis.bib
+alliance/doc/overview/tools.fig
+alliance/doc/design-flow/beh-spec-alliance.gif
+alliance/doc/design-flow/beh-spec-asimut.gif
+alliance/doc/design-flow/beh-spec-fsm.gif
+alliance/doc/design-flow/beh-spec-mocha.gif
+alliance/doc/design-flow/beh-spec-rtl.gif
+alliance/doc/design-flow/beh-spec-split.gif
+alliance/doc/design-flow/beh-spec-struct.gif
+alliance/doc/design-flow/beh-spec-syf.gif
+alliance/doc/design-flow/beh-spec-vasy.gif
+alliance/doc/design-flow/dreal.gif
+alliance/doc/design-flow/flow.html
+alliance/doc/design-flow/future.html
+alliance/doc/design-flow/graal.gif
+alliance/doc/design-flow/index.html
+alliance/doc/design-flow/intro.html
+alliance/doc/design-flow/logo.gif
+alliance/doc/design-flow/mips-small.jpg
+alliance/doc/design-flow/mips.html
+alliance/doc/design-flow/mips.jpg
+alliance/doc/design-flow/place-route-ocpr.gif
+alliance/doc/design-flow/place-route-ring.gif
+alliance/doc/design-flow/place-route-s2r.gif
+alliance/doc/design-flow/place-route-valid.gif
+alliance/doc/design-flow/rtl-synth-dp.gif
+alliance/doc/design-flow/rtl-synth-fmi.gif
+alliance/doc/design-flow/rtl-synth-genlib.gif
+alliance/doc/design-flow/rtl-synth-logic.gif
+alliance/doc/design-flow/tools.html
+alliance/doc/design-flow/xfsm.gif
+alliance/doc/design-flow/xpat.gif
+alliance/doc/design-flow/xsch.gif
+alliance/doc/alliance-run/Makefile
+alliance/doc/alliance-run/README
+alliance/doc/alliance-run/amd2901_chip.c
+alliance/doc/alliance-run/amd2901_chip.rin
+alliance/doc/alliance-run/amd2901_core.c
+alliance/doc/alliance-run/amd2901_core.ioc
+alliance/doc/alliance-run/amd2901_ctl.lax
+alliance/doc/alliance-run/amd2901_ctl.vbe
+alliance/doc/alliance-run/amd2901_dpt.c
+alliance/doc/alliance-run/bench.zsh
+alliance/doc/alliance-run/pattern.c
 alliance/cells/dp_sxlib/CATAL
 alliance/cells/dp_sxlib/dp_dff_scan_x4.ap
 alliance/cells/dp_sxlib/dp_dff_scan_x4.vbe
@@ -343,7 +392,6 @@
 alliance/cells/dp_sxlib/dp_ts_x8.vbe
 alliance/cells/dp_sxlib/dp_ts_x8_buf.ap
 alliance/cells/dp_sxlib/dp_ts_x8_buf.vbe
-@dirrm alliance/cells/dp_sxlib
 alliance/cells/padlib/CATAL
 alliance/cells/padlib/corner_sp.ap
 alliance/cells/padlib/corner_sp.vbe
@@ -414,7 +462,6 @@
 alliance/cells/padlib/pvssick_sp.al
 alliance/cells/padlib/pvssick_sp.ap
 alliance/cells/padlib/pvssick_sp.vbe
-@dirrm alliance/cells/padlib
 alliance/cells/rflib/CATAL
 alliance/cells/rflib/rf_dec_bufad0.ap
 alliance/cells/rflib/rf_dec_bufad0.vbe
@@ -477,7 +524,44 @@
 alliance/cells/rflib/rf_out_mem.ap
 alliance/cells/rflib/rf_out_mem.vbe
 alliance/cells/rflib/rflib.lef
-@dirrm alliance/cells/rflib
+alliance/cells/rf2lib/CATAL
+alliance/cells/rf2lib/rf2lib.lef
+alliance/cells/rf2lib/rf2_dec_bufad0.ap
+alliance/cells/rf2lib/rf2_dec_bufad0.vbe
+alliance/cells/rf2lib/rf2_dec_bufad1_l.ap
+alliance/cells/rf2lib/rf2_dec_bufad1_l.vbe
+alliance/cells/rf2lib/rf2_dec_bufad1_r.ap
+alliance/cells/rf2lib/rf2_dec_bufad1_r.vbe
+alliance/cells/rf2lib/rf2_dec_bufad2_l.ap
+alliance/cells/rf2lib/rf2_dec_bufad2_l.vbe
+alliance/cells/rf2lib/rf2_dec_bufad2_r.ap
+alliance/cells/rf2lib/rf2_dec_bufad2_r.vbe
+alliance/cells/rf2lib/rf2_dec_nand2.ap
+alliance/cells/rf2lib/rf2_dec_nand2.vbe
+alliance/cells/rf2lib/rf2_dec_nand3.ap
+alliance/cells/rf2lib/rf2_dec_nand3.vbe
+alliance/cells/rf2lib/rf2_dec_nand4.ap
+alliance/cells/rf2lib/rf2_dec_nand4.vbe
+alliance/cells/rf2lib/rf2_dec_nao3.ap
+alliance/cells/rf2lib/rf2_dec_nao3.vbe
+alliance/cells/rf2lib/rf2_dec_nbuf.ap
+alliance/cells/rf2lib/rf2_dec_nbuf.vbe
+alliance/cells/rf2lib/rf2_dec_nor3.ap
+alliance/cells/rf2lib/rf2_dec_nor3.vbe
+alliance/cells/rf2lib/rf2_inmux_buf.ap
+alliance/cells/rf2lib/rf2_inmux_buf.vbe
+alliance/cells/rf2lib/rf2_inmux_mem.ap
+alliance/cells/rf2lib/rf2_inmux_mem.vbe
+alliance/cells/rf2lib/rf2_mid_buf.ap
+alliance/cells/rf2lib/rf2_mid_buf.vbe
+alliance/cells/rf2lib/rf2_mid_mem.ap
+alliance/cells/rf2lib/rf2_mid_mem_r0.ap
+alliance/cells/rf2lib/rf2_mid_mem_r0.vbe
+alliance/cells/rf2lib/rf2_mid_mem.vbe
+alliance/cells/rf2lib/rf2_out_buf.ap
+alliance/cells/rf2lib/rf2_out_buf.vbe
+alliance/cells/rf2lib/rf2_out_mem.ap
+alliance/cells/rf2lib/rf2_out_mem.vbe
 alliance/cells/ramlib/ramlib.lef
 alliance/cells/ramlib/CATAL
 alliance/cells/ramlib/ram_mem_buf0.ap
@@ -520,7 +604,6 @@
 alliance/cells/ramlib/ram_sense_decad4.vbe
 alliance/cells/ramlib/ram_sense_decad5.ap
 alliance/cells/ramlib/ram_sense_decad5.vbe
-@dirrm alliance/cells/ramlib
 alliance/cells/romlib/romlib.lef
 alliance/cells/romlib/CATAL
 alliance/cells/romlib/rom_data_insel.ap
@@ -581,7 +664,6 @@
 alliance/cells/romlib/rom_dec_selmux67_ts.ap
 alliance/cells/romlib/rom_dec_selmux67_ts.vbe
 alliance/cells/romlib/rom_dec_selmux67.vbe
-@dirrm alliance/cells/romlib
 alliance/cells/sxlib/a2_x2.al
 alliance/cells/sxlib/a2_x4.al
 alliance/cells/sxlib/a3_x2.al
@@ -865,6 +947,7 @@
 alliance/cells/sxlib/000000093.dat
 alliance/cells/sxlib/000000094.dat
 alliance/cells/sxlib/000000095.dat
+alliance/cells/sxlib/CATAL
 alliance/cells/sxlib/CIRCUIT.IDX
 alliance/cells/sxlib/sxlib.cct
 alliance/cells/sxlib/sxlib.db
@@ -877,7 +960,6 @@
 alliance/cells/sxlib/a3_x2.sym
 alliance/cells/sxlib/a3_x4.sym
 alliance/cells/sxlib/a4_x2.sym
-alliance/cells/sxlib/nao2o22_x1.sym
 alliance/cells/sxlib/a4_x4.sym
 alliance/cells/sxlib/an12_x1.sym
 alliance/cells/sxlib/an12_x4.sym
@@ -902,7 +984,7 @@
 alliance/cells/sxlib/na4_x4.sym
 alliance/cells/sxlib/nao22_x1.sym
 alliance/cells/sxlib/nao22_x4.sym
-alliance/cells/sxlib/CATAL
+alliance/cells/sxlib/nao2o22_x1.sym
 alliance/cells/sxlib/nao2o22_x4.sym
 alliance/cells/sxlib/nmx2_x1.sym
 alliance/cells/sxlib/nmx2_x4.sym
@@ -923,7 +1005,6 @@
 alliance/cells/sxlib/noa2ao222_x2.sym
 alliance/cells/sxlib/noa2ao222_x4.sym
 alliance/cells/sxlib/noa3ao322_x4.sym
-alliance/cells/sxlib/noa22_x1.vbe
 alliance/cells/sxlib/nts_x1.sym
 alliance/cells/sxlib/nts_x2.sym
 alliance/cells/sxlib/nxr2_x1.sym
@@ -1004,6 +1085,7 @@
 alliance/cells/sxlib/no3_x4.vbe
 alliance/cells/sxlib/no4_x1.vbe
 alliance/cells/sxlib/no4_x4.vbe
+alliance/cells/sxlib/noa22_x1.vbe
 alliance/cells/sxlib/noa22_x4.vbe
 alliance/cells/sxlib/noa2a22_x1.vbe
 alliance/cells/sxlib/noa2a22_x4.vbe
@@ -1015,7 +1097,6 @@
 alliance/cells/sxlib/noa2ao222_x4.vbe
 alliance/cells/sxlib/noa3ao322_x1.vbe
 alliance/cells/sxlib/noa3ao322_x4.vbe
-alliance/cells/sxlib/fulladder_x2.vhd
 alliance/cells/sxlib/nts_x1.vbe
 alliance/cells/sxlib/nts_x2.vbe
 alliance/cells/sxlib/nxr2_x1.vbe
@@ -1067,6 +1148,7 @@
 alliance/cells/sxlib/ao2o22_x4.vhd
 alliance/cells/sxlib/buf_x4.vhd
 alliance/cells/sxlib/buf_x8.vhd
+alliance/cells/sxlib/fulladder_x2.vhd
 alliance/cells/sxlib/fulladder_x4.vhd
 alliance/cells/sxlib/halfadder_x2.vhd
 alliance/cells/sxlib/halfadder_x4.vhd
@@ -1086,7 +1168,6 @@
 alliance/cells/sxlib/na4_x4.vhd
 alliance/cells/sxlib/nao22_x1.vhd
 alliance/cells/sxlib/nao22_x4.vhd
-alliance/cells/sxlib/noa3ao322_x1.vhd
 alliance/cells/sxlib/nao2o22_x1.vhd
 alliance/cells/sxlib/nao2o22_x4.vhd
 alliance/cells/sxlib/nmx2_x1.vhd
@@ -1109,6 +1190,7 @@
 alliance/cells/sxlib/noa2a2a2a24_x4.vhd
 alliance/cells/sxlib/noa2ao222_x1.vhd
 alliance/cells/sxlib/noa2ao222_x4.vhd
+alliance/cells/sxlib/noa3ao322_x1.vhd
 alliance/cells/sxlib/noa3ao322_x4.vhd
 alliance/cells/sxlib/nts_x1.vhd
 alliance/cells/sxlib/nts_x2.vhd
@@ -1130,7 +1212,6 @@
 alliance/cells/sxlib/oa2a2a2a24_x4.vhd
 alliance/cells/sxlib/oa2ao222_x2.vhd
 alliance/cells/sxlib/oa2ao222_x4.vhd
-alliance/cells/sxlib/zero_x0.vhd
 alliance/cells/sxlib/oa3ao322_x2.vhd
 alliance/cells/sxlib/oa3ao322_x4.vhd
 alliance/cells/sxlib/on12_x1.vhd
@@ -1153,6 +1234,539 @@
 alliance/cells/sxlib/ts_x8.vhd
 alliance/cells/sxlib/xr2_x1.vhd
 alliance/cells/sxlib/xr2_x4.vhd
+alliance/cells/sxlib/zero_x0.vhd
+alliance/tutorials/place_and_route/src/amd2901/Makefile
+alliance/tutorials/place_and_route/src/amd2901/amd2901_chip.c
+alliance/tutorials/place_and_route/src/amd2901/amd2901_chip.rin
+alliance/tutorials/place_and_route/src/amd2901/amd2901_core.c
+alliance/tutorials/place_and_route/src/amd2901/amd2901_core.ioc
+alliance/tutorials/place_and_route/src/amd2901/amd2901_ctl.lax
+alliance/tutorials/place_and_route/src/amd2901/amd2901_ctl.vbe
+alliance/tutorials/place_and_route/src/amd2901/amd2901_dpt.c
+alliance/tutorials/place_and_route/src/amd2901/amd2901_dpt.vbe
+alliance/tutorials/place_and_route/src/amd2901/pattern.c
+alliance/tutorials/place_and_route/src/Makefile
+alliance/tutorials/place_and_route/src/buffer/Makefile
+alliance/tutorials/place_and_route/src/buffer/buf_x2.ap
+alliance/tutorials/place_and_route/src/buffer/buffer.vbe
+alliance/tutorials/place_and_route/src/inv/Makefile
+alliance/tutorials/place_and_route/src/inv/inv.vbe
+alliance/tutorials/place_and_route/src/inv/inv_x1.ap
+alliance/tutorials/place_and_route/tex/Makefile
+alliance/tutorials/place_and_route/tex/amd2901.epsi
+alliance/tutorials/place_and_route/tex/bloc.fig
+alliance/tutorials/place_and_route/tex/buff_x1.fig
+alliance/tutorials/place_and_route/tex/colonnes.fig
+alliance/tutorials/place_and_route/tex/controleplace.fig
+alliance/tutorials/place_and_route/tex/dpt-all-1.fig
+alliance/tutorials/place_and_route/tex/gabarit2_sx.fig
+alliance/tutorials/place_and_route/tex/gabarit3_sx.fig
+alliance/tutorials/place_and_route/tex/gabarit_sx.fig
+alliance/tutorials/place_and_route/tex/hier.fig
+alliance/tutorials/place_and_route/tex/hierarchie.fig
+alliance/tutorials/place_and_route/tex/inv_x1.fig
+alliance/tutorials/place_and_route/tex/place_and_route.tex
+alliance/tutorials/place_and_route/tex/placement.fig
+alliance/tutorials/place_and_route/tex/preplacement.fig
+alliance/tutorials/place_and_route/tex/stick.fig
+alliance/tutorials/simulation/src/addaccu_beh/Makefile
+alliance/tutorials/simulation/src/addaccu_beh/addaccu.vbe
+alliance/tutorials/simulation/src/addaccu_beh/addaccu4.vhdl
+alliance/tutorials/simulation/src/addaccu_beh/addaccu_dly.vbe
+alliance/tutorials/simulation/src/addaccu_beh/patterns.pat
+alliance/tutorials/simulation/src/addaccu_beh/patterns_dly.pat
+alliance/tutorials/simulation/src/Makefile
+alliance/tutorials/simulation/src/addaccu_struct/Makefile
+alliance/tutorials/simulation/src/addaccu_struct/accu.vbe
+alliance/tutorials/simulation/src/addaccu_struct/accu.vst
+alliance/tutorials/simulation/src/addaccu_struct/addaccu.vbe
+alliance/tutorials/simulation/src/addaccu_struct/addaccu.vst
+alliance/tutorials/simulation/src/addaccu_struct/alu.vbe
+alliance/tutorials/simulation/src/addaccu_struct/alu.vst
+alliance/tutorials/simulation/src/addaccu_struct/mux.vbe
+alliance/tutorials/simulation/src/addaccu_struct/mux.vst
+alliance/tutorials/simulation/src/addaccu_struct/pat_new.c
+alliance/tutorials/simulation/tex/Makefile
+alliance/tutorials/simulation/tex/addac.fig
+alliance/tutorials/simulation/tex/addac.jpg
+alliance/tutorials/simulation/tex/cpt3.epsi
+alliance/tutorials/simulation/tex/simulation.tex
+alliance/tutorials/place_and_route.pdf
+alliance/tutorials/start/Makefile
+alliance/tutorials/start/start.tex
+alliance/tutorials/simulation.pdf
+alliance/tutorials/synthesis/src/amd2901/Makefile
+alliance/tutorials/synthesis/src/amd2901/amd.vst
+alliance/tutorials/synthesis/src/amd2901/amd2901_chip.c
+alliance/tutorials/synthesis/src/amd2901/amd2901_core.c
+alliance/tutorials/synthesis/src/amd2901/amd2901_ctl.c
+alliance/tutorials/synthesis/src/amd2901/amd2901_ctl.vbe
+alliance/tutorials/synthesis/src/amd2901/amd2901_dpt.c
+alliance/tutorials/synthesis/src/amd2901/amd2901_dpt.vbe
+alliance/tutorials/synthesis/src/amd2901/circuit.c
+alliance/tutorials/synthesis/src/amd2901/data_path.c
+alliance/tutorials/synthesis/src/amd2901/pat.pat
+alliance/tutorials/synthesis/src/amd2901/pattern.c
+alliance/tutorials/synthesis/src/amd2901/pattern.pat
+alliance/tutorials/synthesis/src/Makefile
+alliance/tutorials/synthesis/src/amdbug/Makefile
+alliance/tutorials/synthesis/src/amdbug/amd_0.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_1.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_10.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_11.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_12.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_13.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_14.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_15.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_16.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_17.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_18.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_19.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_2.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_20.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_21.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_22.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_23.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_24.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_3.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_4.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_5.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_6.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_7.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_8.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_9.vbe
+alliance/tutorials/synthesis/src/amdbug/amd_ok.vbe
+alliance/tutorials/synthesis/src/amdbug/amdfindbug.c
+alliance/tutorials/synthesis/src/digicode/Makefile
+alliance/tutorials/synthesis/src/digicode/digicode.fsm
+alliance/tutorials/synthesis/src/digicode/digicode.pat
+alliance/tutorials/synthesis/src/digicode/paramfile.lax
+alliance/tutorials/synthesis/src/digicode/scan.path
+alliance/tutorials/synthesis/src/meter5/Makefile
+alliance/tutorials/synthesis/src/meter5/cpt5.fsm
+alliance/tutorials/synthesis/src/meter5/cpt5.pat
+alliance/tutorials/synthesis/tex/Makefile
+alliance/tutorials/synthesis/tex/T_RC.fig
+alliance/tutorials/synthesis/tex/amd2901.epsi
+alliance/tutorials/synthesis/tex/automate.fig
+alliance/tutorials/synthesis/tex/bloc.fig
+alliance/tutorials/synthesis/tex/clavier.fig
+alliance/tutorials/synthesis/tex/ctl-alu-1.fig
+alliance/tutorials/synthesis/tex/ctl-mrs-1.fig
+alliance/tutorials/synthesis/tex/ctl-wen-1.fig
+alliance/tutorials/synthesis/tex/ctldecode.fig
+alliance/tutorials/synthesis/tex/ctldecodebw.fig
+alliance/tutorials/synthesis/tex/datap.fig
+alliance/tutorials/synthesis/tex/dpt-all-1.fig
+alliance/tutorials/synthesis/tex/dpt-alu-1.fig
+alliance/tutorials/synthesis/tex/dptbanc.fig
+alliance/tutorials/synthesis/tex/ex_digicode.fig
+alliance/tutorials/synthesis/tex/exemple1.fig
+alliance/tutorials/synthesis/tex/exemple2.fig
+alliance/tutorials/synthesis/tex/graphe1.fig
+alliance/tutorials/synthesis/tex/hier.fig
+alliance/tutorials/synthesis/tex/graphe_solution_digicode.fig
+alliance/tutorials/synthesis/tex/synthese.fig
+alliance/tutorials/synthesis/tex/synthesis.tex
+alliance/tutorials/start.pdf
+alliance/tutorials/synthesis.pdf
+alliance/examples/alliance-examples/addaccu16/Makefile
+alliance/examples/alliance-examples/addaccu16/README
+alliance/examples/alliance-examples/addaccu16/addaccu.ioc
+alliance/examples/alliance-examples/addaccu16/addaccu.pat
+alliance/examples/alliance-examples/addaccu16/addaccu.vhdl
+alliance/examples/alliance-examples/adder4/Makefile
+alliance/examples/alliance-examples/adder4/README
+alliance/examples/alliance-examples/adder4/adder4.ioc
+alliance/examples/alliance-examples/adder4/adder4.pat
+alliance/examples/alliance-examples/adder4/adder4.vhdl
+alliance/examples/alliance-examples/amd2901/Makefile
+alliance/examples/alliance-examples/amd2901/amd2901_chip.c
+alliance/examples/alliance-examples/amd2901/amd2901_chip.rin
+alliance/examples/alliance-examples/amd2901/amd2901_core.c
+alliance/examples/alliance-examples/amd2901/amd2901_core.ioc
+alliance/examples/alliance-examples/amd2901/amd2901_ctl.lax
+alliance/examples/alliance-examples/amd2901/amd2901_ctl.vbe
+alliance/examples/alliance-examples/amd2901/amd2901_dpt.c
+alliance/examples/alliance-examples/amd2901/amd2901_dpt.vbe
+alliance/examples/alliance-examples/amd2901/pattern.c
+alliance/examples/alliance-examples/amd2901/pattern.pat
+alliance/examples/alliance-examples/amd2901/pattern_core.spi
+alliance/examples/alliance-examples/amd2901-vasy/Makefile
+alliance/examples/alliance-examples/amd2901-vasy/amd2901.ioc
+alliance/examples/alliance-examples/amd2901-vasy/amd2901.pat
+alliance/examples/alliance-examples/amd2901-vasy/amd2901.vhdl
+alliance/examples/alliance-examples/digi/Makefile
+alliance/examples/alliance-examples/digi/digi.fsm
+alliance/examples/alliance-examples/digi/digi.ioc
+alliance/examples/alliance-examples/digi/digi.pat
+alliance/examples/alliance-examples/divcas4/CATAL_ASIMUT_VASY
+alliance/examples/alliance-examples/divcas4/Makefile
+alliance/examples/alliance-examples/divcas4/README
+alliance/examples/alliance-examples/divcas4/cas.lax
+alliance/examples/alliance-examples/divcas4/cas.vhdl
+alliance/examples/alliance-examples/divcas4/divcas4.ioc
+alliance/examples/alliance-examples/divcas4/divcas4.pat
+alliance/examples/alliance-examples/divcas4/divcas4.vhdl
+alliance/examples/alliance-examples/divcas4/divcas4_model.lax
+alliance/examples/alliance-examples/etc/techno-035.rds
+alliance/examples/alliance-examples/etc/techno-symb.rds
+alliance/examples/alliance-examples/hadamard/CATAL_ASIMUT_VASY
+alliance/examples/alliance-examples/hadamard/Makefile
+alliance/examples/alliance-examples/hadamard/calcul.vhdl
+alliance/examples/alliance-examples/hadamard/compteur.vhdl
+alliance/examples/alliance-examples/hadamard/hadamard.ioc
+alliance/examples/alliance-examples/hadamard/hadamard.vhdl
+alliance/examples/alliance-examples/hadamard/hadamard_1.pat
+alliance/examples/alliance-examples/hadamard/hadamard_2.pat
+alliance/examples/alliance-examples/hadamard/hadamard_3.pat
+alliance/examples/alliance-examples/hadamard/ram.vhdl
+alliance/examples/alliance-examples/hadamard/rom.vhdl
+alliance/examples/alliance-examples/hadamard/sequenceur.vhdl
+alliance/examples/alliance-examples/mipsR3000/asm/CATAL
+alliance/examples/alliance-examples/mipsR3000/asm/CATAL_VBE
+alliance/examples/alliance-examples/mipsR3000/asm/CATAL_VBE_DPT
+alliance/examples/alliance-examples/mipsR3000/asm/CATAL_VST_FSM
+alliance/examples/alliance-examples/mipsR3000/asm/CATAL_VST_FSM_STS
+alliance/examples/alliance-examples/mipsR3000/asm/CATAL_VST_FSM_STS_DPT
+alliance/examples/alliance-examples/mipsR3000/asm/CATAL_VST_STS
+alliance/examples/alliance-examples/mipsR3000/asm/Makefile_alu
+alliance/examples/alliance-examples/mipsR3000/asm/Makefile_bm
+alliance/examples/alliance-examples/mipsR3000/asm/Makefile_excp
+alliance/examples/alliance-examples/mipsR3000/asm/Makefile_int
+alliance/examples/alliance-examples/mipsR3000/asm/Makefile_misc
+alliance/examples/alliance-examples/mipsR3000/asm/add000.pat
+alliance/examples/alliance-examples/mipsR3000/asm/add000.u
+alliance/examples/alliance-examples/mipsR3000/asm/add001.u
+alliance/examples/alliance-examples/mipsR3000/asm/add002.u
+alliance/examples/alliance-examples/mipsR3000/asm/add003.u
+alliance/examples/alliance-examples/mipsR3000/asm/add004.u
+alliance/examples/alliance-examples/mipsR3000/asm/add005.u
+alliance/examples/alliance-examples/mipsR3000/asm/addu000.u
+alliance/examples/alliance-examples/mipsR3000/asm/addu001.u
+alliance/examples/alliance-examples/mipsR3000/asm/addu002.u
+alliance/examples/alliance-examples/mipsR3000/asm/addu003.u
+alliance/examples/alliance-examples/mipsR3000/asm/and000.u
+alliance/examples/alliance-examples/mipsR3000/asm/and001.u
+alliance/examples/alliance-examples/mipsR3000/asm/beq000.u
+alliance/examples/alliance-examples/mipsR3000/asm/beq001.u
+alliance/examples/alliance-examples/mipsR3000/asm/bgez000.u
+alliance/examples/alliance-examples/mipsR3000/asm/bgez001.u
+alliance/examples/alliance-examples/mipsR3000/asm/bgezal000.u
+alliance/examples/alliance-examples/mipsR3000/asm/bgtz000.u
+alliance/examples/alliance-examples/mipsR3000/asm/blez000.u
+alliance/examples/alliance-examples/mipsR3000/asm/bltz000.u
+alliance/examples/alliance-examples/mipsR3000/asm/bltzal000.u
+alliance/examples/alliance-examples/mipsR3000/asm/bne000.u
+alliance/examples/alliance-examples/mipsR3000/asm/bne001.u
+alliance/examples/alliance-examples/mipsR3000/asm/break_00.e
+alliance/examples/alliance-examples/mipsR3000/asm/break_00.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc000.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc000.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc001.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc001.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc002.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc002.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc003.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc003.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc004.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc004.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc005.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc005.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc006.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc006.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc007.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc007.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc008.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc008.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc009.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc009.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc010.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc010.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc011.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc011.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc012.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc012.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc013.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc013.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc014.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc014.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc015.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc015.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc016.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc016.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc017.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc017.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc018.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc018.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc019.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc019.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc020.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc020.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc021.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc021.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc022.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc022.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc023.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc023.u
+alliance/examples/alliance-examples/mipsR3000/asm/exc024.e
+alliance/examples/alliance-examples/mipsR3000/asm/exc024.u
+alliance/examples/alliance-examples/mipsR3000/asm/foo.vbe
+alliance/examples/alliance-examples/mipsR3000/asm/go-all.sh
+alliance/examples/alliance-examples/mipsR3000/asm/go-bench.sh
+alliance/examples/alliance-examples/mipsR3000/asm/it000.e
+alliance/examples/alliance-examples/mipsR3000/asm/it000.u
+alliance/examples/alliance-examples/mipsR3000/asm/it001.e
+alliance/examples/alliance-examples/mipsR3000/asm/it001.u
+alliance/examples/alliance-examples/mipsR3000/asm/it002.e
+alliance/examples/alliance-examples/mipsR3000/asm/it002.u
+alliance/examples/alliance-examples/mipsR3000/asm/it003.e
+alliance/examples/alliance-examples/mipsR3000/asm/it003.u
+alliance/examples/alliance-examples/mipsR3000/asm/it004.e
+alliance/examples/alliance-examples/mipsR3000/asm/it004.u
+alliance/examples/alliance-examples/mipsR3000/asm/it005.e
+alliance/examples/alliance-examples/mipsR3000/asm/it005.u
+alliance/examples/alliance-examples/mipsR3000/asm/it006.e
+alliance/examples/alliance-examples/mipsR3000/asm/it006.u
+alliance/examples/alliance-examples/mipsR3000/asm/jal000.u
+alliance/examples/alliance-examples/mipsR3000/asm/jal001.u
+alliance/examples/alliance-examples/mipsR3000/asm/jalr000.u
+alliance/examples/alliance-examples/mipsR3000/asm/jalr001.u
+alliance/examples/alliance-examples/mipsR3000/asm/jr000.u
+alliance/examples/alliance-examples/mipsR3000/asm/jr001.u
+alliance/examples/alliance-examples/mipsR3000/asm/lhi000.u
+alliance/examples/alliance-examples/mipsR3000/asm/lhi001.u
+alliance/examples/alliance-examples/mipsR3000/asm/lhi2000.u
+alliance/examples/alliance-examples/mipsR3000/asm/lhi2001.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb000.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb001.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb002.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb003.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb004.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb005.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb006.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsb007.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsbu000.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsbu001.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsbu002.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsbu003.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsh000.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsh001.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsh002.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsh003.u
+alliance/examples/alliance-examples/mipsR3000/asm/lshu000.u
+alliance/examples/alliance-examples/mipsR3000/asm/lshu001.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsw000.u
+alliance/examples/alliance-examples/mipsR3000/asm/lsw001.u
+alliance/examples/alliance-examples/mipsR3000/asm/mftc0_00.e
+alliance/examples/alliance-examples/mipsR3000/asm/mftc0_00.u
+alliance/examples/alliance-examples/mipsR3000/asm/mfthi_00.e
+alliance/examples/alliance-examples/mipsR3000/asm/mfthi_00.u
+alliance/examples/alliance-examples/mipsR3000/asm/mftlo_00.e
+alliance/examples/alliance-examples/mipsR3000/asm/mftlo_00.u
+alliance/examples/alliance-examples/mipsR3000/asm/mips_defs.h
+alliance/examples/alliance-examples/mipsR3000/asm/nor000.u
+alliance/examples/alliance-examples/mipsR3000/asm/or000.u
+alliance/examples/alliance-examples/mipsR3000/asm/or001.u
+alliance/examples/alliance-examples/mipsR3000/asm/or002.u
+alliance/examples/alliance-examples/mipsR3000/asm/reg000.u
+alliance/examples/alliance-examples/mipsR3000/asm/res_test
+alliance/examples/alliance-examples/mipsR3000/asm/rome.u
+alliance/examples/alliance-examples/mipsR3000/asm/rome.vbe
+alliance/examples/alliance-examples/mipsR3000/asm/romr.e
+alliance/examples/alliance-examples/mipsR3000/asm/roms.vbe.orig
+alliance/examples/alliance-examples/mipsR3000/asm/romu.vbe
+alliance/examples/alliance-examples/mipsR3000/asm/sle000.u
+alliance/examples/alliance-examples/mipsR3000/asm/sle001.u
+alliance/examples/alliance-examples/mipsR3000/asm/sle002.u
+alliance/examples/alliance-examples/mipsR3000/asm/sle003.u
+alliance/examples/alliance-examples/mipsR3000/asm/sle004.u
+alliance/examples/alliance-examples/mipsR3000/asm/sle005.u
+alliance/examples/alliance-examples/mipsR3000/asm/sle006.u
+alliance/examples/alliance-examples/mipsR3000/asm/sle007.u
+alliance/examples/alliance-examples/mipsR3000/asm/sll000.u
+alliance/examples/alliance-examples/mipsR3000/asm/sll001.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltiu000.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltiu001.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltiu002.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltiu003.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltiu004.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltu000.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltu001.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltu002.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltu003.u
+alliance/examples/alliance-examples/mipsR3000/asm/sltu004.u
+alliance/examples/alliance-examples/mipsR3000/asm/sra000.u
+alliance/examples/alliance-examples/mipsR3000/asm/sra001.u
+alliance/examples/alliance-examples/mipsR3000/asm/srl000.u
+alliance/examples/alliance-examples/mipsR3000/asm/srl001.u
+alliance/examples/alliance-examples/mipsR3000/asm/sub000.u
+alliance/examples/alliance-examples/mipsR3000/asm/sub001.u
+alliance/examples/alliance-examples/mipsR3000/asm/syscall_00.e
+alliance/examples/alliance-examples/mipsR3000/asm/syscall_00.u
+alliance/examples/alliance-examples/mipsR3000/asm/xor000.u
+alliance/examples/alliance-examples/mipsR3000/asm/xor001.u
+alliance/examples/alliance-examples/mipsR3000/asm/xor002.u
+alliance/examples/alliance-examples/mipsR3000/sce/CATAL_CTL
+alliance/examples/alliance-examples/mipsR3000/sce/CATAL_VBE
+alliance/examples/alliance-examples/mipsR3000/sce/CATAL_VST
+alliance/examples/alliance-examples/mipsR3000/sce/Makefile
+alliance/examples/alliance-examples/mipsR3000/sce/local-cmos-035.rds
+alliance/examples/alliance-examples/mipsR3000/sce/local-cmos.graal
+alliance/examples/alliance-examples/mipsR3000/sce/local-cmos.rds
+alliance/examples/alliance-examples/mipsR3000/sce/mips_chip.rin
+alliance/examples/alliance-examples/mipsR3000/sce/mips_chip.vst
+alliance/examples/alliance-examples/mipsR3000/sce/mips_core.c
+alliance/examples/alliance-examples/mipsR3000/sce/mips_core.ioc
+alliance/examples/alliance-examples/mipsR3000/sce/mips_core.vst
+alliance/examples/alliance-examples/mipsR3000/sce/mips_cpu-orig.pat
+alliance/examples/alliance-examples/mipsR3000/sce/mips_cpu.pat
+alliance/examples/alliance-examples/mipsR3000/sce/mips_cpu.vst
+alliance/examples/alliance-examples/mipsR3000/sce/mips_ctl.lax
+alliance/examples/alliance-examples/mipsR3000/sce/mips_ctl.path
+alliance/examples/alliance-examples/mipsR3000/sce/mips_ctl.scapin
+alliance/examples/alliance-examples/mipsR3000/sce/mips_ctl_nt.vst
+alliance/examples/alliance-examples/mipsR3000/sce/mips_dec.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/mips_dpt.c
+alliance/examples/alliance-examples/mipsR3000/sce/mips_dpt.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/mips_scan.c
+alliance/examples/alliance-examples/mipsR3000/sce/mips_scan.pat
+alliance/examples/alliance-examples/mipsR3000/sce/mips_seq.fsm
+alliance/examples/alliance-examples/mipsR3000/sce/mips_sts.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/res_vst.pat
+alliance/examples/alliance-examples/mipsR3000/sce/rome.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/romr.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/roms.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/romu.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/sr64_1a.vbe
+alliance/examples/alliance-examples/mipsR3000/sce/sr64_32a.vst
+alliance/examples/alliance-examples/mipsR3000/sce/sr64_8a.vst
+alliance/examples/alliance-examples/mipsR3000/sce/timer.vbe
+alliance/examples/alliance-examples/multi16b-reg/Makefile
+alliance/examples/alliance-examples/multi16b-reg/multi16.ioc
+alliance/examples/alliance-examples/multi16b-reg/multi16.lax
+alliance/examples/alliance-examples/multi16b-reg/multi16.pat
+alliance/examples/alliance-examples/multi16b-reg/multi16.vhdl
+alliance/examples/alliance-examples/multi4b/Makefile
+alliance/examples/alliance-examples/multi4b/README
+alliance/examples/alliance-examples/multi4b/multi4.ioc
+alliance/examples/alliance-examples/multi4b/multi4.pat
+alliance/examples/alliance-examples/multi4b/multi4.vhdl
+alliance/examples/alliance-examples/multi8/CATAL_ASIMUT_VASY
+alliance/examples/alliance-examples/multi8/Makefile
+alliance/examples/alliance-examples/multi8/README
+alliance/examples/alliance-examples/multi8/addaccu.vhdl
+alliance/examples/alliance-examples/multi8/controller.vhdl
+alliance/examples/alliance-examples/multi8/multi8.ioc
+alliance/examples/alliance-examples/multi8/multi8.pat
+alliance/examples/alliance-examples/multi8/multi8.vhdl
+alliance/examples/alliance-examples/multi8/sra.vhdl
+alliance/examples/alliance-examples/multi8/srb.vhdl
+alliance/examples/alliance-examples/multi8b/Makefile
+alliance/examples/alliance-examples/multi8b/README
+alliance/examples/alliance-examples/multi8b/multi8.ioc
+alliance/examples/alliance-examples/multi8b/multi8.lax
+alliance/examples/alliance-examples/multi8b/multi8.pat
+alliance/examples/alliance-examples/multi8b/multi8.vhdl
+alliance/examples/alliance-examples/pgcd/CATAL_ASIMUT_VASY
+alliance/examples/alliance-examples/pgcd/Makefile
+alliance/examples/alliance-examples/pgcd/README
+alliance/examples/alliance-examples/pgcd/calcul.vhdl
+alliance/examples/alliance-examples/pgcd/pgcd.ioc
+alliance/examples/alliance-examples/pgcd/pgcd.pat
+alliance/examples/alliance-examples/pgcd/pgcd.vhdl
+alliance/examples/alliance-examples/pgcd/sequenceur.vhdl
+alliance/examples/alliance-examples/sqrt32/CATAL_ASIMUT_VASY
+alliance/examples/alliance-examples/sqrt32/Makefile
+alliance/examples/alliance-examples/sqrt32/README
+alliance/examples/alliance-examples/sqrt32/s0.vhdl
+alliance/examples/alliance-examples/sqrt32/s0b.vhdl
+alliance/examples/alliance-examples/sqrt32/s1.vhdl
+alliance/examples/alliance-examples/sqrt32/s1b.vhdl
+alliance/examples/alliance-examples/sqrt32/sb.vhdl
+alliance/examples/alliance-examples/sqrt32/sm.vhdl
+alliance/examples/alliance-examples/sqrt32/sn.vhdl
+alliance/examples/alliance-examples/sqrt32/sqrt32.ioc
+alliance/examples/alliance-examples/sqrt32/sqrt32.pat
+alliance/examples/alliance-examples/sqrt32/sqrt32.vhdl
+alliance/examples/alliance-examples/sqrt8/CATAL_ASIMUT_VASY
+alliance/examples/alliance-examples/sqrt8/Makefile
+alliance/examples/alliance-examples/sqrt8/README
+alliance/examples/alliance-examples/sqrt8/sm.vhdl
+alliance/examples/alliance-examples/sqrt8/sqrt8.ioc
+alliance/examples/alliance-examples/sqrt8/sqrt8.pat
+alliance/examples/alliance-examples/sqrt8/sqrt8.vhdl
+alliance/examples/alliance-examples/tuner/README
+alliance/examples/alliance-examples/tuner/build_tuner
+alliance/examples/alliance-examples/tuner/circuit.c
+alliance/examples/alliance-examples/tuner/core.c
+alliance/examples/alliance-examples/tuner/fake05.dreal
+alliance/examples/alliance-examples/tuner/fake05.rds
+alliance/examples/alliance-examples/tuner/padreal.cif
+alliance/examples/alliance-examples/tuner/padreal.gds
+alliance/examples/alliance-examples/tuner/tuner-fr.pdf
+alliance/examples/alliance-examples/tuner/tuner.ioc
+alliance/examples/alliance-examples/tuner/tuner.rin
+alliance/examples/alliance-examples/tuner/tuner.vbe
+alliance/examples/alliance-examples/tuner/tuner_io.c
+@dirrm alliance/lib
+@dirrm alliance/include
+@dirrm alliance/bin
+@dirrm alliance/doc/html/alliance
+@dirrm alliance/doc/html
+@dirrm alliance/doc/pdf
+@dirrm alliance/doc/overview
+@dirrm alliance/doc/design-flow
+@dirrm alliance/doc/alliance-run
+@dirrm alliance/doc
+@dirrm alliance/cells/dp_sxlib
+@dirrm alliance/cells/padlib
+@dirrm alliance/cells/rflib
+@dirrm alliance/cells/rf2lib
+@dirrm alliance/cells/ramlib
+@dirrm alliance/cells/romlib
 @dirrm alliance/cells/sxlib
 @dirrm alliance/cells
+@dirrm alliance/tutorials/place_and_route/src/amd2901
+@dirrm alliance/tutorials/place_and_route/src/buffer
+@dirrm alliance/tutorials/place_and_route/src/inv
+@dirrm alliance/tutorials/place_and_route/src
+@dirrm alliance/tutorials/place_and_route/tex
+@dirrm alliance/tutorials/place_and_route
+@dirrm alliance/tutorials/simulation/src/addaccu_beh
+@dirrm alliance/tutorials/simulation/src/addaccu_struct
+@dirrm alliance/tutorials/simulation/src
+@dirrm alliance/tutorials/simulation/tex
+@dirrm alliance/tutorials/simulation
+@dirrm alliance/tutorials/start
+@dirrm alliance/tutorials/synthesis/src/amd2901
+@dirrm alliance/tutorials/synthesis/src/amdbug
+@dirrm alliance/tutorials/synthesis/src/digicode
+@dirrm alliance/tutorials/synthesis/src/meter5
+@dirrm alliance/tutorials/synthesis/src
+@dirrm alliance/tutorials/synthesis/tex
+@dirrm alliance/tutorials/synthesis
+@dirrm alliance/tutorials
+@dirrm alliance/examples/alliance-examples/addaccu16
+@dirrm alliance/examples/alliance-examples/adder4
+@dirrm alliance/examples/alliance-examples/amd2901
+@dirrm alliance/examples/alliance-examples/amd2901-vasy
+@dirrm alliance/examples/alliance-examples/digi
+@dirrm alliance/examples/alliance-examples/divcas4
+@dirrm alliance/examples/alliance-examples/etc
+@dirrm alliance/examples/alliance-examples/hadamard
+@dirrm alliance/examples/alliance-examples/mipsR3000/asm
+@dirrm alliance/examples/alliance-examples/mipsR3000/sce
+@dirrm alliance/examples/alliance-examples/mipsR3000
+@dirrm alliance/examples/alliance-examples/multi16b-reg
+@dirrm alliance/examples/alliance-examples/multi4b
+@dirrm alliance/examples/alliance-examples/multi8
+@dirrm alliance/examples/alliance-examples/multi8b
+@dirrm alliance/examples/alliance-examples/pgcd
+@dirrm alliance/examples/alliance-examples/sqrt32
+@dirrm alliance/examples/alliance-examples/sqrt8
+@dirrm alliance/examples/alliance-examples/tuner
+@dirrm alliance/examples/alliance-examples
+@dirrm alliance/examples
+@unexec rmdir %D/alliance/etc > /dev/null 2>&1 || true
 @unexec rmdir %D/alliance > /dev/null 2>&1 || true
--- cad::aliance.patch ends here ---


>Release-Note:
>Audit-Trail:
>Unformatted:



Want to link to this message? Use this URL: <https://mail-archive.FreeBSD.org/cgi/mid.cgi?200508091843.j79IhP328363>